aboutsummaryrefslogtreecommitdiff
path: root/test
diff options
context:
space:
mode:
Diffstat (limited to 'test')
-rw-r--r--test/test/parsePackage.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/test/test/parsePackage.scala b/test/test/parsePackage.scala
index bef7bbe06..6fa5c0f86 100644
--- a/test/test/parsePackage.scala
+++ b/test/test/parsePackage.scala
@@ -23,8 +23,8 @@ object parsePackage extends ParserTest {
TypedSplice(t)
case SymbolLit(str) =>
tree
- case InterpolatedString(id, parts, elems) =>
- InterpolatedString(id, parts map (transformSub(_)), elems map transform)
+ case InterpolatedString(id, segments) =>
+ InterpolatedString(id, segments map transform)
case mdef @ ModuleDef(name, impl) =>
ModuleDef(name, transformSub(impl)).withMods(mdef.mods)
case Function(params, body) =>