From 63a93edeb03be31ca8173eabe2a47032c00d4c70 Mon Sep 17 00:00:00 2001 From: Jakob Odersky Date: Sat, 28 Feb 2015 19:32:52 +0100 Subject: initial commit --- .gitignore | 4 + README.md | 24 + kicad/lib/adafruit.dcm | 3 + kicad/lib/adafruit.lib | 26 ++ kicad/lib/adafruit.mod | 106 +++++ kicad/lib/common.mod | 31 ++ kicad/lib/pjrc.dcm | 3 + kicad/lib/pjrc.lib | 50 +++ kicad/lib/pjrc.mod | 255 +++++++++++ kicad/trifle/trifle.cmp | 115 +++++ kicad/trifle/trifle.kicad_pcb | 990 ++++++++++++++++++++++++++++++++++++++++++ kicad/trifle/trifle.net | 333 ++++++++++++++ kicad/trifle/trifle.pro | 91 ++++ kicad/trifle/trifle.sch | 569 ++++++++++++++++++++++++ trifle-board.pdf | Bin 0 -> 28794 bytes trifle-schematic.pdf | Bin 0 -> 33884 bytes 16 files changed, 2600 insertions(+) create mode 100644 .gitignore create mode 100644 README.md create mode 100644 kicad/lib/adafruit.dcm create mode 100644 kicad/lib/adafruit.lib create mode 100644 kicad/lib/adafruit.mod create mode 100644 kicad/lib/common.mod create mode 100644 kicad/lib/pjrc.dcm create mode 100644 kicad/lib/pjrc.lib create mode 100644 kicad/lib/pjrc.mod create mode 100644 kicad/trifle/trifle.cmp create mode 100644 kicad/trifle/trifle.kicad_pcb create mode 100644 kicad/trifle/trifle.net create mode 100644 kicad/trifle/trifle.pro create mode 100644 kicad/trifle/trifle.sch create mode 100644 trifle-board.pdf create mode 100644 trifle-schematic.pdf diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..7b0b70f --- /dev/null +++ b/.gitignore @@ -0,0 +1,4 @@ +*.bak +*.bck +*.kicad_pcb-bak +*-cache.lib diff --git a/README.md b/README.md new file mode 100644 index 0000000..db0bd24 --- /dev/null +++ b/README.md @@ -0,0 +1,24 @@ +# Trifle Flight Control Unit + +Trifle is a flight controller board for quadcopters. As the name implies, the board itself does very little except +breakout a microcontroller board and contain an intertial measurement unit. + +## Active components +The main active components contained on the board are: + - Teensy 3.1 (https://www.pjrc.com/teensy/teensy31.html) microcontroller board, based on the Freescale Kinetis K20 SoC family + - Adafruit 10-DOF inertial measurement unit (http://www.adafruit.com/product/1604) + +## Specification +The provided layout is such that the board can be hand-assembled on a perf-board. Ports include: + - 1 power connector (5V) + - 1 voltage measurement port (12.6V max, i.e. LiPo battery 3S) + - 4 main PWM outputs, used to control motor speed + - 2 auxiliary PWM outputs + - 2 UARTs, for telemetry and GPS (telemetry is also used as radio control) + - 1 ultrasonic distance sensor interface (using echo and trigger pins) + +## Software +Currently work-in-progress, the goal is to provide support for the PX4 autopilot [firmware](https://github.com/jodersky/px4-firmware). + +## License +Design files are licensed under a Creative Commons Attribution 4.0 International License. \ No newline at end of file diff --git a/kicad/lib/adafruit.dcm b/kicad/lib/adafruit.dcm new file mode 100644 index 0000000..699a092 --- /dev/null +++ b/kicad/lib/adafruit.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 Date: Fri 13 Feb 2015 16:03:52 CET +# +#End Doc Library diff --git a/kicad/lib/adafruit.lib b/kicad/lib/adafruit.lib new file mode 100644 index 0000000..fb75e7b --- /dev/null +++ b/kicad/lib/adafruit.lib @@ -0,0 +1,26 @@ +EESchema-LIBRARY Version 2.3 Date: Fri 13 Feb 2015 16:03:52 CET +#encoding utf-8 +# +# ADAFRUIT-10DOF +# +DEF ADAFRUIT-10DOF U 0 40 Y Y 1 F N +F0 "U" 0 500 60 H V C CNN +F1 "ADAFRUIT-10DOF" 0 350 60 H V C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +S -500 0 500 450 0 1 0 N +X VIN 1 -450 -100 100 U 50 50 1 1 W +X 3Vo 2 -350 -100 100 U 50 50 1 1 W +X GND 3 -250 -100 100 U 50 50 1 1 W +X SCL 4 -150 -100 100 U 50 50 1 1 I +X SDA 5 -50 -100 100 U 50 50 1 1 I +X GINT 6 50 -100 100 U 50 50 1 1 I +X GRDY 7 150 -100 100 U 50 50 1 1 I +X LIN1 8 250 -100 100 U 50 50 1 1 I +X LIN2 9 350 -100 100 U 50 50 1 1 I +X LRDY 10 450 -100 100 U 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library diff --git a/kicad/lib/adafruit.mod b/kicad/lib/adafruit.mod new file mode 100644 index 0000000..d9538f4 --- /dev/null +++ b/kicad/lib/adafruit.mod @@ -0,0 +1,106 @@ +PCBNEW-LibModule-V1 Fri 13 Feb 2015 13:28:03 CET +# encoding utf-8 +Units mm +$INDEX +adafruit-10dof +$EndINDEX +$MODULE adafruit-10dof +Po 0 0 0 15 54DDED7F 00000000 ~~ +Li adafruit-10dof +Sc 0 +AR +Op 0 0 0 +T0 0 0 1 1 0 0.15 N V 21 N "adafruit-10dof" +T1 0 -12.7 1 1 0 0.15 N V 21 N "U**" +DC 16.51 8.89 17.78 7.62 0.15 21 +DC 16.51 -8.89 17.78 -10.16 0.15 21 +DC -16.51 8.89 -15.24 7.62 0.15 21 +DC -16.51 -8.89 -15.24 -10.16 0.15 21 +DA -16.51 8.89 -16.51 11.43 900 0.15 21 +DA -16.51 8.89 -19.05 8.89 900 0.15 21 +DA 16.51 8.89 19.05 8.89 900 0.15 21 +DA 16.51 8.89 16.51 6.35 900 0.15 21 +DA 16.51 -8.89 19.05 -8.89 900 0.15 21 +DA 16.51 -8.89 16.51 -11.43 900 0.15 21 +DA -16.51 -8.89 -19.05 -8.89 900 0.15 21 +DA -16.51 -8.89 -16.51 -6.35 900 0.15 21 +DS -16.51 11.43 16.51 11.43 0.15 21 +DS -16.51 -6.35 -13.97 -6.35 0.15 21 +DS -13.97 -6.35 -13.97 6.35 0.15 21 +DS -13.97 6.35 -16.51 6.35 0.15 21 +DS 16.51 -6.35 13.97 -6.35 0.15 21 +DS 13.97 -6.35 13.97 6.35 0.15 21 +DS 13.97 6.35 16.51 6.35 0.15 21 +DS -16.51 -11.43 16.51 -11.43 0.15 21 +$PAD +Sh "1" R 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -11.43 8.89 +$EndPAD +$PAD +Sh "2" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -8.89 8.89 +$EndPAD +$PAD +Sh "3" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -6.35 8.89 +$EndPAD +$PAD +Sh "4" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -3.81 8.89 +$EndPAD +$PAD +Sh "5" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -1.27 8.89 +$EndPAD +$PAD +Sh "6" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 1.27 8.89 +$EndPAD +$PAD +Sh "7" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 3.81 8.89 +$EndPAD +$PAD +Sh "8" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 6.35 8.89 +$EndPAD +$PAD +Sh "9" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 8.89 8.89 +$EndPAD +$PAD +Sh "10" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 11.43 8.89 +$EndPAD +$EndMODULE adafruit-10dof +$EndLIBRARY diff --git a/kicad/lib/common.mod b/kicad/lib/common.mod new file mode 100644 index 0000000..7034f4c --- /dev/null +++ b/kicad/lib/common.mod @@ -0,0 +1,31 @@ +PCBNEW-LibModule-V1 Fri 13 Feb 2015 17:12:31 CET +# encoding utf-8 +Units mm +$INDEX +CTOP +$EndINDEX +$MODULE CTOP +Po 0 0 0 15 54DE222F 00000000 ~~ +Li CTOP +Sc 0 +AR +Op 0 0 0 +T0 0 -5.08 1 1 0 0.15 N V 21 N "CT9" +T1 0 5.08 1 1 0 0.15 N V 21 N "C**" +DC 0 0 4.445 0 0.15 21 +$PAD +Sh "1" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -1.27 0 +$EndPAD +$PAD +Sh "2" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 1.27 0 +$EndPAD +$EndMODULE CTOP +$EndLIBRARY diff --git a/kicad/lib/pjrc.dcm b/kicad/lib/pjrc.dcm new file mode 100644 index 0000000..99ae78b --- /dev/null +++ b/kicad/lib/pjrc.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 Date: Fri 13 Feb 2015 16:36:46 CET +# +#End Doc Library diff --git a/kicad/lib/pjrc.lib b/kicad/lib/pjrc.lib new file mode 100644 index 0000000..23d3c15 --- /dev/null +++ b/kicad/lib/pjrc.lib @@ -0,0 +1,50 @@ +EESchema-LIBRARY Version 2.3 Date: Fri 13 Feb 2015 16:36:46 CET +#encoding utf-8 +# +# TEENSY-3.1 +# +DEF TEENSY-3.1 U 0 40 Y Y 1 F N +F0 "U" 0 1050 60 H V C CNN +F1 "TEENSY-3.1" 0 0 60 V V C CNN +F2 "~" -50 200 60 H V C CNN +F3 "~" -50 200 60 H V C CNN +DRAW +S -500 1000 500 -1000 0 1 0 N +S -150 1000 150 800 0 1 0 N +X GND 1 -600 950 100 R 50 50 1 1 W +X PB16 2 -600 850 100 R 50 50 1 1 I +X PB17 3 -600 750 100 R 50 50 1 1 I +X PD0 4 -600 650 100 R 50 50 1 1 I +X PA12 5 -600 550 100 R 50 50 1 1 I +X PA13 6 -600 450 100 R 50 50 1 1 I +X PD7 7 -600 350 100 R 50 50 1 1 I +X PD4 8 -600 250 100 R 50 50 1 1 I +X PD2 9 -600 150 100 R 50 50 1 1 I +X PD3 10 -600 50 100 R 50 50 1 1 I +X PC5 20 600 -350 100 L 50 50 1 1 I +X PC2 30 600 650 100 L 50 50 1 1 I +X PC3 11 -600 -50 100 R 50 50 1 1 I +X PD1 21 600 -250 100 L 50 50 1 1 I +X 3.3V 31 600 750 100 L 50 50 1 1 w +X PC4 12 -600 -150 100 R 50 50 1 1 I +X PC0 22 600 -150 100 L 50 50 1 1 I +X AGND 32 600 850 100 L 50 50 1 1 I +X PC6 13 -600 -250 100 R 50 50 1 1 I +X PB0 23 600 -50 100 L 50 50 1 1 I +X Vin 33 600 950 100 L 50 50 1 1 W +X PC7 14 -600 -350 100 R 50 50 1 1 I +X PB1 24 600 50 100 L 50 50 1 1 I +X VBAT 15 -600 -550 100 R 50 50 1 1 W +X PB3 25 600 150 100 L 50 50 1 1 I +X 3.3V 16 -600 -650 100 R 50 50 1 1 w +X PB2 26 600 250 100 L 50 50 1 1 I +X GND 17 -600 -750 100 R 50 50 1 1 W +X PD5 27 600 350 100 L 50 50 1 1 I +X Program 18 -600 -850 100 R 50 50 1 1 I +X PD6 28 600 450 100 L 50 50 1 1 I +X A14/DAC 19 -600 -950 100 R 50 50 1 1 I +X PC1 29 600 550 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library diff --git a/kicad/lib/pjrc.mod b/kicad/lib/pjrc.mod new file mode 100644 index 0000000..8c4ff1b --- /dev/null +++ b/kicad/lib/pjrc.mod @@ -0,0 +1,255 @@ +PCBNEW-LibModule-V1 Fri 13 Feb 2015 15:27:13 CET +# encoding utf-8 +Units mm +$INDEX +teensy-3.1 +$EndINDEX +$MODULE teensy-3.1 +Po 0 0 0 15 54DE09AC 00000000 ~~ +Li teensy-3.1 +Sc 0 +AR +Op 0 0 0 +T0 0 0 1 1 0 0.15 N V 21 N "teensy-3.1" +T1 0 -20.32 1 1 0 0.15 N V 21 N "U**" +DS -3.556 -19.304 3.556 -19.304 0.15 21 +DS 3.556 -19.304 3.556 -14.224 0.15 21 +DS 3.556 -14.224 -3.556 -14.224 0.15 21 +DS -3.556 -14.224 -3.556 -19.304 0.15 21 +DS -8.89 -17.78 8.89 -17.78 0.15 21 +DS 8.89 -17.78 8.89 17.78 0.15 21 +DS 8.89 17.78 -8.89 17.78 0.15 21 +DS -8.89 17.78 -8.89 -17.78 0.15 21 +$PAD +Sh "1" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 -16.51 +$EndPAD +$PAD +Sh "2" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 -13.97 +$EndPAD +$PAD +Sh "3" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 -11.43 +$EndPAD +$PAD +Sh "4" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 -8.89 +$EndPAD +$PAD +Sh "5" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 -6.35 +$EndPAD +$PAD +Sh "6" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 -3.81 +$EndPAD +$PAD +Sh "7" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 -1.27 +$EndPAD +$PAD +Sh "8" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 1.27 +$EndPAD +$PAD +Sh "9" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 3.81 +$EndPAD +$PAD +Sh "10" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 6.35 +$EndPAD +$PAD +Sh "11" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 8.89 +$EndPAD +$PAD +Sh "12" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 11.43 +$EndPAD +$PAD +Sh "13" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 13.97 +$EndPAD +$PAD +Sh "14" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -7.62 16.51 +$EndPAD +$PAD +Sh "15" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -5.08 16.51 +$EndPAD +$PAD +Sh "16" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po -2.54 16.51 +$EndPAD +$PAD +Sh "17" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 0 16.51 +$EndPAD +$PAD +Sh "18" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 2.54 16.51 +$EndPAD +$PAD +Sh "19" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 5.08 16.51 +$EndPAD +$PAD +Sh "20" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 16.51 +$EndPAD +$PAD +Sh "21" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 13.97 +$EndPAD +$PAD +Sh "22" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 11.43 +$EndPAD +$PAD +Sh "23" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 8.89 +$EndPAD +$PAD +Sh "24" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 6.35 +$EndPAD +$PAD +Sh "25" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 3.81 +$EndPAD +$PAD +Sh "26" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 1.27 +$EndPAD +$PAD +Sh "27" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 -1.27 +$EndPAD +$PAD +Sh "28" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 -3.81 +$EndPAD +$PAD +Sh "29" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 -6.35 +$EndPAD +$PAD +Sh "30" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 -8.89 +$EndPAD +$PAD +Sh "31" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 -11.43 +$EndPAD +$PAD +Sh "32" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 -13.97 +$EndPAD +$PAD +Sh "33" C 1.5 1.5 0 0 0 +Dr 0.6 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 7.62 -16.51 +$EndPAD +$EndMODULE teensy-3.1 +$EndLIBRARY diff --git a/kicad/trifle/trifle.cmp b/kicad/trifle/trifle.cmp new file mode 100644 index 0000000..b651596 --- /dev/null +++ b/kicad/trifle/trifle.cmp @@ -0,0 +1,115 @@ +Cmp-Mod V01 Created by CvPcb (22-Jun-2014 BZR 4027)-stable date = Sat 28 Feb 2015 18:49:43 CET + +BeginCmp +TimeStamp = /54DE0F12; +Reference = C1; +ValeurCmp = 220uF; +IdModule = CTOP; +EndCmp + +BeginCmp +TimeStamp = /54DE0FCB; +Reference = K1; +ValeurCmp = AUX0; +IdModule = PIN_ARRAY_3X1; +EndCmp + +BeginCmp +TimeStamp = /54DE0FD1; +Reference = K2; +ValeurCmp = AUX1; +IdModule = PIN_ARRAY_3X1; +EndCmp + +BeginCmp +TimeStamp = /54DE0FD7; +Reference = K3; +ValeurCmp = M0; +IdModule = PIN_ARRAY_3X1; +EndCmp + +BeginCmp +TimeStamp = /54DE11AA; +Reference = K4; +ValeurCmp = M1; +IdModule = PIN_ARRAY_3X1; +EndCmp + +BeginCmp +TimeStamp = /54DE11B0; +Reference = K5; +ValeurCmp = M2; +IdModule = PIN_ARRAY_3X1; +EndCmp + +BeginCmp +TimeStamp = /54DE11B6; +Reference = K6; +ValeurCmp = M3; +IdModule = PIN_ARRAY_3X1; +EndCmp + +BeginCmp +TimeStamp = /54DE0E5C; +Reference = P1; +ValeurCmp = 5Vin; +IdModule = PIN_ARRAY_2X1; +EndCmp + +BeginCmp +TimeStamp = /54DE1003; +Reference = P2; +ValeurCmp = TELE; +IdModule = PIN_ARRAY_4x1; +EndCmp + +BeginCmp +TimeStamp = /54DE1236; +Reference = P3; +ValeurCmp = GPS; +IdModule = PIN_ARRAY_4x1; +EndCmp + +BeginCmp +TimeStamp = /54DE123C; +Reference = P4; +ValeurCmp = DIST; +IdModule = PIN_ARRAY_4x1; +EndCmp + +BeginCmp +TimeStamp = /54DE11F6; +Reference = P5; +ValeurCmp = BATT; +IdModule = PIN_ARRAY_2X1; +EndCmp + +BeginCmp +TimeStamp = /54DE1214; +Reference = R1; +ValeurCmp = 100k; +IdModule = R1; +EndCmp + +BeginCmp +TimeStamp = /54DE1221; +Reference = R2; +ValeurCmp = 33k; +IdModule = R1; +EndCmp + +BeginCmp +TimeStamp = /54DE0E02; +Reference = U1; +ValeurCmp = TEENSY-3.1; +IdModule = teensy-3.1; +EndCmp + +BeginCmp +TimeStamp = /54DDF274; +Reference = U2; +ValeurCmp = ADAFRUIT-10DOF; +IdModule = adafruit-10dof; +EndCmp + +EndListe diff --git a/kicad/trifle/trifle.kicad_pcb b/kicad/trifle/trifle.kicad_pcb new file mode 100644 index 0000000..94b141f --- /dev/null +++ b/kicad/trifle/trifle.kicad_pcb @@ -0,0 +1,990 @@ +(kicad_pcb (version 3) (host pcbnew "(22-Jun-2014 BZR 4027)-stable") + + (general + (links 43) + (no_connects 0) + (area 85.980002 54.300001 190.879999 125.89) + (thickness 1.6) + (drawings 28) + (tracks 75) + (zones 0) + (modules 16) + (nets 20) + ) + + (page A4) + (title_block + (title "Trifle Flight Control Unit") + (rev 1.0) + ) + + (layers + (15 F.Cu signal) + (0 B.Cu signal) + (16 B.Adhes user) + (17 F.Adhes user) + (18 B.Paste user) + (19 F.Paste user) + (20 B.SilkS user) + (21 F.SilkS user) + (22 B.Mask user) + (23 F.Mask user) + (24 Dwgs.User user) + (25 Cmts.User user) + (26 Eco1.User user) + (27 Eco2.User user) + (28 Edge.Cuts user) + ) + + (setup + (last_trace_width 0.254) + (trace_clearance 0.254) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.254) + (segment_width 0.2) + (edge_width 0.1) + (via_size 0.889) + (via_drill 0.635) + (via_min_size 0.889) + (via_min_drill 0.508) + (uvia_size 0.508) + (uvia_drill 0.127) + (uvias_allowed no) + (uvia_min_size 0.508) + (uvia_min_drill 0.127) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.5 1.5) + (pad_drill 0.6) + (pad_to_mask_clearance 0) + (aux_axis_origin 0 0) + (visible_elements FFFFFFFF) + (pcbplotparams + (layerselection 19955713) + (usegerberextensions false) + (excludeedgelayer true) + (linewidth 0.150000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotothertext true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 2) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory svg/)) + ) + + (net 0 "") + (net 1 /AGND) + (net 2 GND) + (net 3 N-0000010) + (net 4 N-0000025) + (net 5 N-0000026) + (net 6 N-0000028) + (net 7 N-0000029) + (net 8 N-000003) + (net 9 N-0000030) + (net 10 N-0000031) + (net 11 N-0000039) + (net 12 N-000004) + (net 13 N-0000040) + (net 14 N-000005) + (net 15 N-000006) + (net 16 N-000007) + (net 17 N-000008) + (net 18 N-000009) + (net 19 VCC) + + (net_class Default "This is the default net class." + (clearance 0.254) + (trace_width 0.254) + (via_dia 0.889) + (via_drill 0.635) + (uvia_dia 0.508) + (uvia_drill 0.127) + (add_net "") + (add_net /AGND) + (add_net GND) + (add_net N-0000010) + (add_net N-0000025) + (add_net N-0000026) + (add_net N-0000028) + (add_net N-0000029) + (add_net N-000003) + (add_net N-0000030) + (add_net N-0000031) + (add_net N-0000039) + (add_net N-000004) + (add_net N-0000040) + (add_net N-000005) + (add_net N-000006) + (add_net N-000007) + (add_net N-000008) + (add_net N-000009) + (add_net VCC) + ) + + (module teensy-3.1 (layer F.Cu) (tedit 54DE23CC) (tstamp 54DE22F4) + (at 124.46 95.25 90) + (path /54DE0E02) + (fp_text reference U1 (at 0 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TEENSY-3.1 (at 0 -20.32 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.556 -19.304) (end 3.556 -19.304) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.556 -19.304) (end 3.556 -14.224) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.556 -14.224) (end -3.556 -14.224) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.556 -14.224) (end -3.556 -19.304) (layer F.SilkS) (width 0.15)) + (fp_line (start -8.89 -17.78) (end 8.89 -17.78) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.89 -17.78) (end 8.89 17.78) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.89 17.78) (end -8.89 17.78) (layer F.SilkS) (width 0.15)) + (fp_line (start -8.89 17.78) (end -8.89 -17.78) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at -7.62 -16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 2 thru_hole circle (at -7.62 -13.97 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 18 N-000009) + ) + (pad 3 thru_hole circle (at -7.62 -11.43 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 3 N-0000010) + ) + (pad 4 thru_hole circle (at -7.62 -8.89 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 5 thru_hole circle (at -7.62 -6.35 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 6 thru_hole circle (at -7.62 -3.81 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 7 thru_hole circle (at -7.62 -1.27 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 8 thru_hole circle (at -7.62 1.27 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 9 thru_hole circle (at -7.62 3.81 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 16 N-000007) + ) + (pad 10 thru_hole circle (at -7.62 6.35 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 17 N-000008) + ) + (pad 11 thru_hole circle (at -7.62 8.89 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 8 N-000003) + ) + (pad 12 thru_hole circle (at -7.62 11.43 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 15 N-000006) + ) + (pad 13 thru_hole circle (at -7.62 13.97 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 14 N-000005) + ) + (pad 14 thru_hole circle (at -7.62 16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 12 N-000004) + ) + (pad 15 thru_hole circle (at -5.08 16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 16 thru_hole circle (at -2.54 16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 17 thru_hole circle (at 0 16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 18 thru_hole circle (at 2.54 16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 19 thru_hole circle (at 5.08 16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 20 thru_hole circle (at 7.62 16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 21 thru_hole circle (at 7.62 13.97 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 22 thru_hole circle (at 7.62 11.43 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 23 thru_hole circle (at 7.62 8.89 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 24 thru_hole circle (at 7.62 6.35 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 10 N-0000031) + ) + (pad 25 thru_hole circle (at 7.62 3.81 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 4 N-0000025) + ) + (pad 26 thru_hole circle (at 7.62 1.27 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 5 N-0000026) + ) + (pad 27 thru_hole circle (at 7.62 -1.27 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 9 N-0000030) + ) + (pad 28 thru_hole circle (at 7.62 -3.81 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 7 N-0000029) + ) + (pad 29 thru_hole circle (at 7.62 -6.35 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 6 N-0000028) + ) + (pad 30 thru_hole circle (at 7.62 -8.89 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 11 N-0000039) + ) + (pad 31 thru_hole circle (at 7.62 -11.43 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 32 thru_hole circle (at 7.62 -13.97 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 1 /AGND) + ) + (pad 33 thru_hole circle (at 7.62 -16.51 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + ) + + (module R1 (layer F.Cu) (tedit 54DE3DEA) (tstamp 54DE2BAE) + (at 132.08 74.93 180) + (descr "Resistance verticale") + (tags R) + (path /54DE1214) + (autoplace_cost90 10) + (autoplace_cost180 10) + (fp_text reference R1 (at -1.016 2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100k (at 0 -2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 0) (end 1.27 0) (layer F.SilkS) (width 0.381)) + (fp_circle (center -1.27 0) (end -0.635 1.27) (layer F.SilkS) (width 0.381)) + (pad 1 thru_hole circle (at -1.27 0 180) (size 1.397 1.397) (drill 0.8128) + (layers *.Cu *.Mask F.SilkS) + (net 13 N-0000040) + ) + (pad 2 thru_hole circle (at 1.27 0 180) (size 1.397 1.397) (drill 0.8128) + (layers *.Cu *.Mask F.SilkS) + (net 10 N-0000031) + ) + (model discret/verti_resistor.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module R1 (layer F.Cu) (tedit 54DE3DD8) (tstamp 54DE2BC0) + (at 127 74.93 180) + (descr "Resistance verticale") + (tags R) + (path /54DE1221) + (autoplace_cost90 10) + (autoplace_cost180 10) + (fp_text reference R2 (at -1.016 2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 33k (at -1.27 -2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 0) (end 1.27 0) (layer F.SilkS) (width 0.381)) + (fp_circle (center -1.27 0) (end -0.635 1.27) (layer F.SilkS) (width 0.381)) + (pad 1 thru_hole circle (at -1.27 0 180) (size 1.397 1.397) (drill 0.8128) + (layers *.Cu *.Mask F.SilkS) + (net 10 N-0000031) + ) + (pad 2 thru_hole circle (at 1.27 0 180) (size 1.397 1.397) (drill 0.8128) + (layers *.Cu *.Mask F.SilkS) + (net 1 /AGND) + ) + (model discret/verti_resistor.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_4x1 (layer F.Cu) (tedit 54DE38F2) (tstamp 54DE2310) + (at 113.03 111.76 90) + (descr "Double rangee de contacts 2 x 5 pins") + (tags CONN) + (path /54DE1003) + (fp_text reference P2 (at 0 -2.54 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TELE (at -6.35 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start 5.08 -1.27) (end -5.08 -1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start -5.08 -1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start 5.08 1.27) (end 5.08 -1.27) (layer F.SilkS) (width 0.254)) + (pad 1 thru_hole rect (at -3.81 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 2 thru_hole circle (at -1.27 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 1.27 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 18 N-000009) + ) + (pad 4 thru_hole circle (at 3.81 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 3 N-0000010) + ) + (model pin_array\pins_array_4x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_4x1 (layer F.Cu) (tedit 54DE38A7) (tstamp 54DE231C) + (at 128.27 111.76 90) + (descr "Double rangee de contacts 2 x 5 pins") + (tags CONN) + (path /54DE1236) + (fp_text reference P3 (at 0 -2.54 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value GPS (at -6.35 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start 5.08 -1.27) (end -5.08 -1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start -5.08 -1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start 5.08 1.27) (end 5.08 -1.27) (layer F.SilkS) (width 0.254)) + (pad 1 thru_hole rect (at -3.81 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 2 thru_hole circle (at -1.27 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 1.27 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 17 N-000008) + ) + (pad 4 thru_hole circle (at 3.81 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 16 N-000007) + ) + (model pin_array\pins_array_4x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_4x1 (layer F.Cu) (tedit 54DE38E6) (tstamp 54DE2328) + (at 140.97 111.76 90) + (descr "Double rangee de contacts 2 x 5 pins") + (tags CONN) + (path /54DE123C) + (fp_text reference P4 (at 0 -2.54 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DIST (at -6.35 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start 5.08 -1.27) (end -5.08 -1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start -5.08 -1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.254)) + (fp_line (start 5.08 1.27) (end 5.08 -1.27) (layer F.SilkS) (width 0.254)) + (pad 1 thru_hole rect (at -3.81 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 2 thru_hole circle (at -1.27 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 1.27 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 14 N-000005) + ) + (pad 4 thru_hole circle (at 3.81 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 12 N-000004) + ) + (model pin_array\pins_array_4x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_3X1 (layer F.Cu) (tedit 54DE38D5) (tstamp 54DE2334) + (at 133.35 113.03 90) + (descr "Connecteur 3 pins") + (tags "CONN DEV") + (path /54DE0FCB) + (fp_text reference K1 (at 0.254 -2.159 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AUX0 (at -5.08 -0.635 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.81 1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.81 -1.27) (end 3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 -1.27) (end 3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.27 -1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 2 thru_hole circle (at 0 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 8 N-000003) + ) + (model pin_array/pins_array_3x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_3X1 (layer F.Cu) (tedit 54DE38D7) (tstamp 54DE2340) + (at 135.89 113.03 90) + (descr "Connecteur 3 pins") + (tags "CONN DEV") + (path /54DE0FD1) + (fp_text reference K2 (at 0.254 -2.159 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AUX1 (at -5.08 1.27 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.81 1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.81 -1.27) (end 3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 -1.27) (end 3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.27 -1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 2 thru_hole circle (at 0 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 15 N-000006) + ) + (model pin_array/pins_array_3x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_3X1 (layer F.Cu) (tedit 54DE3998) (tstamp 54DE39C7) + (at 115.57 72.39 90) + (descr "Connecteur 3 pins") + (tags "CONN DEV") + (path /54DE0FD7) + (fp_text reference K3 (at 0 -1.27 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value M0 (at 5.08 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.81 1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.81 -1.27) (end 3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 -1.27) (end 3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.27 -1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 11 N-0000039) + ) + (pad 2 thru_hole circle (at 0 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (model pin_array/pins_array_3x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_3X1 (layer F.Cu) (tedit 54DE397E) (tstamp 54DE2358) + (at 118.11 72.39 90) + (descr "Connecteur 3 pins") + (tags "CONN DEV") + (path /54DE11AA) + (fp_text reference K4 (at 0.254 -2.159 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value M1 (at 5.08 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.81 1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.81 -1.27) (end 3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 -1.27) (end 3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.27 -1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 6 N-0000028) + ) + (pad 2 thru_hole circle (at 0 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (model pin_array/pins_array_3x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_3X1 (layer F.Cu) (tedit 54DE397F) (tstamp 54DE2364) + (at 120.65 72.39 90) + (descr "Connecteur 3 pins") + (tags "CONN DEV") + (path /54DE11B0) + (fp_text reference K5 (at 0.254 -2.159 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value M2 (at 5.08 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.81 1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.81 -1.27) (end 3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 -1.27) (end 3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.27 -1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 7 N-0000029) + ) + (pad 2 thru_hole circle (at 0 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (model pin_array/pins_array_3x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_3X1 (layer F.Cu) (tedit 54DE3980) (tstamp 54DE2370) + (at 123.19 72.39 90) + (descr "Connecteur 3 pins") + (tags "CONN DEV") + (path /54DE11B6) + (fp_text reference K6 (at 0.254 -2.159 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value M3 (at 5.08 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.81 1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.81 -1.27) (end 3.81 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 -1.27) (end 3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 3.81 1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.27 -1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 9 N-0000030) + ) + (pad 2 thru_hole circle (at 0 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 3 thru_hole circle (at 2.54 0 90) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (model pin_array/pins_array_3x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_2X1 (layer F.Cu) (tedit 54DE399B) (tstamp 54DE26A7) + (at 110.49 71.12 270) + (descr "Connecteurs 2 pins") + (tags "CONN DEV") + (path /54DE0E5C) + (fp_text reference P1 (at 0 -1.905 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 5Vin (at 0 2.54 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -1.27 0 270) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 2 thru_hole circle (at 1.27 0 270) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (model pin_array/pins_array_2x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module PIN_ARRAY_2X1 (layer F.Cu) (tedit 54DE391A) (tstamp 54DE2384) + (at 137.16 77.47 180) + (descr "Connecteurs 2 pins") + (tags "CONN DEV") + (path /54DE11F6) + (fp_text reference P5 (at 0 1.27 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BATT (at 0 -2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.1524)) + (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.1524)) + (pad 1 thru_hole rect (at -1.27 0 180) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 13 N-0000040) + ) + (pad 2 thru_hole circle (at 1.27 0 180) (size 1.524 1.524) (drill 1.016) + (layers *.Cu *.Mask F.SilkS) + (net 1 /AGND) + ) + (model pin_array/pins_array_2x1.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module CTOP (layer F.Cu) (tedit 54DE27FC) (tstamp 54DE238B) + (at 140.97 71.12 90) + (path /54DE0F12) + (fp_text reference C1 (at 0 -5.08 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 220uF (at 0 5.08 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 4.445 0) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at -1.27 0 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 2 thru_hole circle (at 1.27 0 90) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + ) + + (module adafruit-10dof (layer F.Cu) (tedit 54DDED7F) (tstamp 54DE23AD) + (at 157.48 83.82 270) + (path /54DDF274) + (fp_text reference U2 (at 0 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value ADAFRUIT-10DOF (at 0 -12.7 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 16.51 8.89) (end 17.78 7.62) (layer F.SilkS) (width 0.15)) + (fp_circle (center 16.51 -8.89) (end 17.78 -10.16) (layer F.SilkS) (width 0.15)) + (fp_circle (center -16.51 8.89) (end -15.24 7.62) (layer F.SilkS) (width 0.15)) + (fp_circle (center -16.51 -8.89) (end -15.24 -10.16) (layer F.SilkS) (width 0.15)) + (fp_arc (start -16.51 8.89) (end -16.51 11.43) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_arc (start -16.51 8.89) (end -19.05 8.89) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_arc (start 16.51 8.89) (end 19.05 8.89) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_arc (start 16.51 8.89) (end 16.51 6.35) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_arc (start 16.51 -8.89) (end 19.05 -8.89) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_arc (start 16.51 -8.89) (end 16.51 -11.43) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_arc (start -16.51 -8.89) (end -19.05 -8.89) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_arc (start -16.51 -8.89) (end -16.51 -6.35) (angle 90) (layer F.SilkS) (width 0.15)) + (fp_line (start -16.51 11.43) (end 16.51 11.43) (layer F.SilkS) (width 0.15)) + (fp_line (start -16.51 -6.35) (end -13.97 -6.35) (layer F.SilkS) (width 0.15)) + (fp_line (start -13.97 -6.35) (end -13.97 6.35) (layer F.SilkS) (width 0.15)) + (fp_line (start -13.97 6.35) (end -16.51 6.35) (layer F.SilkS) (width 0.15)) + (fp_line (start 16.51 -6.35) (end 13.97 -6.35) (layer F.SilkS) (width 0.15)) + (fp_line (start 13.97 -6.35) (end 13.97 6.35) (layer F.SilkS) (width 0.15)) + (fp_line (start 13.97 6.35) (end 16.51 6.35) (layer F.SilkS) (width 0.15)) + (fp_line (start -16.51 -11.43) (end 16.51 -11.43) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at -11.43 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 19 VCC) + ) + (pad 2 thru_hole circle (at -8.89 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 3 thru_hole circle (at -6.35 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 2 GND) + ) + (pad 4 thru_hole circle (at -3.81 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 5 N-0000026) + ) + (pad 5 thru_hole circle (at -1.27 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + (net 4 N-0000025) + ) + (pad 6 thru_hole circle (at 1.27 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 7 thru_hole circle (at 3.81 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 8 thru_hole circle (at 6.35 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 9 thru_hole circle (at 8.89 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + (pad 10 thru_hole circle (at 11.43 8.89 270) (size 1.5 1.5) (drill 0.6) + (layers *.Cu *.Mask F.SilkS) + ) + ) + + (dimension 72.39 (width 0.3) (layer Dwgs.User) + (gr_text "72.390 mm" (at 139.065 124.539999) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 175.26 115.57) (xy 175.26 125.889999))) + (feature2 (pts (xy 102.87 115.57) (xy 102.87 125.889999))) + (crossbar (pts (xy 102.87 123.189999) (xy 175.26 123.189999))) + (arrow1a (pts (xy 175.26 123.189999) (xy 174.133497 123.776419))) + (arrow1b (pts (xy 175.26 123.189999) (xy 174.133497 122.603579))) + (arrow2a (pts (xy 102.87 123.189999) (xy 103.996503 123.776419))) + (arrow2b (pts (xy 102.87 123.189999) (xy 103.996503 122.603579))) + ) + (dimension 50.8 (width 0.3) (layer Dwgs.User) + (gr_text "50.800 mm" (at 92.630001 90.17 270) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 102.87 115.57) (xy 91.280001 115.57))) + (feature2 (pts (xy 102.87 64.77) (xy 91.280001 64.77))) + (crossbar (pts (xy 93.980001 64.77) (xy 93.980001 115.57))) + (arrow1a (pts (xy 93.980001 115.57) (xy 93.393581 114.443497))) + (arrow1b (pts (xy 93.980001 115.57) (xy 94.566421 114.443497))) + (arrow2a (pts (xy 93.980001 64.77) (xy 93.393581 65.896503))) + (arrow2b (pts (xy 93.980001 64.77) (xy 94.566421 65.896503))) + ) + (dimension 58.42 (width 0.3) (layer Dwgs.User) + (gr_text "58.420 mm" (at 184.229999 90.17 270) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 179.07 119.38) (xy 185.579999 119.38))) + (feature2 (pts (xy 179.07 60.96) (xy 185.579999 60.96))) + (crossbar (pts (xy 182.879999 60.96) (xy 182.879999 119.38))) + (arrow1a (pts (xy 182.879999 119.38) (xy 182.293579 118.253497))) + (arrow1b (pts (xy 182.879999 119.38) (xy 183.466419 118.253497))) + (arrow2a (pts (xy 182.879999 60.96) (xy 182.293579 62.086503))) + (arrow2b (pts (xy 182.879999 60.96) (xy 183.466419 62.086503))) + ) + (dimension 80.01 (width 0.3) (layer Dwgs.User) + (gr_text "80.010 mm" (at 139.065 55.800001) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 179.07 60.96) (xy 179.07 54.450001))) + (feature2 (pts (xy 99.06 60.96) (xy 99.06 54.450001))) + (crossbar (pts (xy 99.06 57.150001) (xy 179.07 57.150001))) + (arrow1a (pts (xy 179.07 57.150001) (xy 177.943497 57.736421))) + (arrow1b (pts (xy 179.07 57.150001) (xy 177.943497 56.563581))) + (arrow2a (pts (xy 99.06 57.150001) (xy 100.186503 57.736421))) + (arrow2b (pts (xy 99.06 57.150001) (xy 100.186503 56.563581))) + ) + (gr_text GND (at 142.24 115.57) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text 5V (at 142.24 113.03) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text ECHO (at 142.24 107.95) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text TRIG (at 142.24 110.49) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text GND (at 127 115.57) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + ) + (gr_text 5V (at 127 113.03) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + ) + (gr_text GND (at 114.3 115.57) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text 5V (at 114.3 113.03) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text TX (at 127 110.49) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + ) + (gr_text RX (at 127 107.95) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + ) + (gr_text TX (at 114.3 107.95) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text RX (at 114.3 110.49) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text + (at 107.95 73.66 90) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text - (at 107.95 68.58 90) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text - (at 134.62 80.01) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text + (at 139.7 80.01) (layer Dwgs.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_circle (center 175.26 64.77) (end 177.8 64.77) (layer Edge.Cuts) (width 0.1)) + (gr_circle (center 175.26 115.57) (end 177.8 115.57) (layer Edge.Cuts) (width 0.1)) + (gr_circle (center 102.87 115.57) (end 105.41 115.57) (layer Edge.Cuts) (width 0.1)) + (gr_circle (center 102.87 64.77) (end 105.41 64.77) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 179.07 60.96) (end 99.06 60.96) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 179.07 119.38) (end 179.07 60.96) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 99.06 119.38) (end 179.07 119.38) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 99.06 60.96) (end 99.06 119.38) (angle 90) (layer Edge.Cuts) (width 0.1)) + + (segment (start 135.89 77.47) (end 133.35 77.47) (width 0.254) (layer B.Cu) (net 1)) + (segment (start 133.35 77.47) (end 125.73 77.47) (width 0.254) (layer F.Cu) (net 1) (tstamp 54DE2E88)) + (via (at 133.35 77.47) (size 0.889) (layers F.Cu B.Cu) (net 1)) + (segment (start 125.73 74.93) (end 125.73 77.47) (width 0.254) (layer B.Cu) (net 1)) + (segment (start 110.49 77.47) (end 110.49 87.63) (width 0.254) (layer B.Cu) (net 1) (tstamp 54DE2C4F)) + (via (at 110.49 77.47) (size 0.889) (layers F.Cu B.Cu) (net 1)) + (segment (start 125.73 77.47) (end 110.49 77.47) (width 0.254) (layer F.Cu) (net 1) (tstamp 54DE2C48)) + (via (at 125.73 77.47) (size 0.889) (layers F.Cu B.Cu) (net 1)) + (segment (start 107.95 102.87) (end 105.41 102.87) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 140.97 69.85) (end 146.05 69.85) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 146.05 77.47) (end 148.59 77.47) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2B00)) + (via (at 146.05 77.47) (size 0.889) (layers F.Cu B.Cu) (net 2)) + (segment (start 146.05 69.85) (end 146.05 77.47) (width 0.254) (layer F.Cu) (net 2) (tstamp 54DE2AF9)) + (via (at 146.05 69.85) (size 0.889) (layers F.Cu B.Cu) (net 2)) + (segment (start 110.49 69.85) (end 105.41 69.85) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 107.95 115.57) (end 113.03 115.57) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2A74)) + (segment (start 105.41 113.03) (end 107.95 115.57) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2A68)) + (segment (start 105.41 69.85) (end 105.41 102.87) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2A67)) + (segment (start 105.41 102.87) (end 105.41 113.03) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2D81)) + (segment (start 113.03 115.57) (end 128.27 115.57) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2A75)) + (segment (start 128.27 115.57) (end 133.35 115.57) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2A77)) + (segment (start 133.35 115.57) (end 135.89 115.57) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2A7A)) + (segment (start 135.89 115.57) (end 140.97 115.57) (width 0.254) (layer B.Cu) (net 2) (tstamp 54DE2A7B)) + (segment (start 115.57 69.85) (end 110.49 69.85) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 118.11 69.85) (end 115.57 69.85) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 120.65 69.85) (end 118.11 69.85) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 123.19 69.85) (end 120.65 69.85) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 123.19 69.85) (end 140.97 69.85) (width 0.254) (layer B.Cu) (net 2)) + (segment (start 113.03 107.95) (end 113.03 102.87) (width 0.254) (layer B.Cu) (net 3)) + (segment (start 128.27 87.63) (end 128.27 82.55) (width 0.254) (layer B.Cu) (net 4)) + (segment (start 128.27 82.55) (end 148.59 82.55) (width 0.254) (layer B.Cu) (net 4) (tstamp 54DE2D71)) + (segment (start 148.59 80.01) (end 125.73 80.01) (width 0.254) (layer B.Cu) (net 5)) + (segment (start 125.73 80.01) (end 125.73 87.63) (width 0.254) (layer B.Cu) (net 5) (tstamp 54DE2D6C)) + (segment (start 118.11 74.93) (end 118.11 87.63) (width 0.254) (layer B.Cu) (net 6)) + (segment (start 120.65 74.93) (end 120.65 87.63) (width 0.254) (layer B.Cu) (net 7)) + (segment (start 133.35 110.49) (end 133.35 102.87) (width 0.254) (layer B.Cu) (net 8)) + (segment (start 123.19 74.93) (end 123.19 87.63) (width 0.254) (layer B.Cu) (net 9)) + (segment (start 130.81 74.93) (end 130.81 78.74) (width 0.254) (layer B.Cu) (net 10)) + (segment (start 130.81 85.09) (end 130.81 87.63) (width 0.254) (layer B.Cu) (net 10) (tstamp 54DE2E79)) + (via (at 130.81 85.09) (size 0.889) (layers F.Cu B.Cu) (net 10)) + (segment (start 130.81 78.74) (end 130.81 85.09) (width 0.254) (layer F.Cu) (net 10) (tstamp 54DE2E73)) + (via (at 130.81 78.74) (size 0.889) (layers F.Cu B.Cu) (net 10)) + (segment (start 128.27 74.93) (end 130.81 74.93) (width 0.254) (layer B.Cu) (net 10)) + (segment (start 115.57 74.93) (end 115.57 87.63) (width 0.254) (layer B.Cu) (net 11)) + (segment (start 140.97 107.95) (end 140.97 102.87) (width 0.254) (layer B.Cu) (net 12)) + (segment (start 133.35 74.93) (end 138.43 74.93) (width 0.254) (layer B.Cu) (net 13)) + (segment (start 138.43 74.93) (end 138.43 77.47) (width 0.254) (layer B.Cu) (net 13) (tstamp 54DE2C70)) + (segment (start 140.97 110.49) (end 138.43 110.49) (width 0.254) (layer B.Cu) (net 14)) + (segment (start 138.43 110.49) (end 138.43 102.87) (width 0.254) (layer B.Cu) (net 14) (tstamp 54DE2D94)) + (segment (start 135.89 110.49) (end 135.89 102.87) (width 0.254) (layer B.Cu) (net 15)) + (segment (start 128.27 102.87) (end 128.27 107.95) (width 0.254) (layer B.Cu) (net 16)) + (segment (start 128.27 110.49) (end 130.81 110.49) (width 0.254) (layer B.Cu) (net 17)) + (segment (start 130.81 110.49) (end 130.81 102.87) (width 0.254) (layer B.Cu) (net 17) (tstamp 54DE2D8D)) + (segment (start 113.03 110.49) (end 110.49 110.49) (width 0.254) (layer B.Cu) (net 18)) + (segment (start 110.49 110.49) (end 110.49 102.87) (width 0.254) (layer B.Cu) (net 18) (tstamp 54DE2D83)) + (segment (start 107.95 72.39) (end 110.49 72.39) (width 0.254) (layer B.Cu) (net 19)) + (segment (start 110.49 72.39) (end 115.57 72.39) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2ADF)) + (segment (start 115.57 72.39) (end 118.11 72.39) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2AE0)) + (segment (start 118.11 72.39) (end 120.65 72.39) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2AE3)) + (segment (start 120.65 72.39) (end 123.19 72.39) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2AE4)) + (segment (start 123.19 72.39) (end 140.97 72.39) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2AE5)) + (segment (start 140.97 72.39) (end 148.59 72.39) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2AE6)) + (segment (start 140.97 113.03) (end 135.89 113.03) (width 0.254) (layer B.Cu) (net 19)) + (segment (start 135.89 113.03) (end 133.35 113.03) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2A82)) + (segment (start 133.35 113.03) (end 128.27 113.03) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2A83)) + (segment (start 128.27 113.03) (end 113.03 113.03) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2A84)) + (segment (start 113.03 113.03) (end 110.49 113.03) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2A85)) + (via (at 110.49 113.03) (size 0.889) (layers F.Cu B.Cu) (net 19)) + (segment (start 110.49 113.03) (end 102.87 113.03) (width 0.254) (layer F.Cu) (net 19) (tstamp 54DE2A8D)) + (via (at 102.87 113.03) (size 0.889) (layers F.Cu B.Cu) (net 19)) + (segment (start 102.87 113.03) (end 102.87 72.39) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2AC2)) + (via (at 102.87 72.39) (size 0.889) (layers F.Cu B.Cu) (net 19)) + (segment (start 102.87 72.39) (end 107.95 72.39) (width 0.254) (layer F.Cu) (net 19) (tstamp 54DE2ACC)) + (via (at 107.95 72.39) (size 0.889) (layers F.Cu B.Cu) (net 19)) + (segment (start 107.95 72.39) (end 107.95 87.63) (width 0.254) (layer B.Cu) (net 19) (tstamp 54DE2AD2)) + +) diff --git a/kicad/trifle/trifle.net b/kicad/trifle/trifle.net new file mode 100644 index 0000000..9f29562 --- /dev/null +++ b/kicad/trifle/trifle.net @@ -0,0 +1,333 @@ +(export (version D) + (design + (source /home/jodersky/uav/trifle/kicad/trifle/trifle.sch) + (date "Sat 28 Feb 2015 18:56:11 CET") + (tool "eeschema (22-Jun-2014 BZR 4027)-stable")) + (components + (comp (ref U2) + (value ADAFRUIT-10DOF) + (libsource (lib adafruit) (part ADAFRUIT-10DOF)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DDF274)) + (comp (ref U1) + (value TEENSY-3.1) + (libsource (lib pjrc) (part TEENSY-3.1)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE0E02)) + (comp (ref P1) + (value 5Vin) + (libsource (lib conn) (part CONN_2)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE0E5C)) + (comp (ref K1) + (value AUX0) + (libsource (lib conn) (part CONN_3)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE0FCB)) + (comp (ref K2) + (value AUX1) + (libsource (lib conn) (part CONN_3)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE0FD1)) + (comp (ref K3) + (value M0) + (libsource (lib conn) (part CONN_3)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE0FD7)) + (comp (ref P2) + (value TELE) + (libsource (lib conn) (part CONN_4)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE1003)) + (comp (ref K4) + (value M1) + (libsource (lib conn) (part CONN_3)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE11AA)) + (comp (ref K5) + (value M2) + (libsource (lib conn) (part CONN_3)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE11B0)) + (comp (ref K6) + (value M3) + (libsource (lib conn) (part CONN_3)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE11B6)) + (comp (ref P5) + (value BATT) + (libsource (lib conn) (part CONN_2)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE11F6)) + (comp (ref R1) + (value 100k) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE1214)) + (comp (ref R2) + (value 33k) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE1221)) + (comp (ref P3) + (value GPS) + (libsource (lib conn) (part CONN_4)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE1236)) + (comp (ref P4) + (value DIST) + (libsource (lib conn) (part CONN_4)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE123C)) + (comp (ref C1) + (value 220uF) + (libsource (lib device) (part CP1)) + (sheetpath (names /) (tstamps /)) + (tstamp 54DE0F12))) + (libparts + (libpart (lib pjrc) (part TEENSY-3.1) + (fields + (field (name Reference) U) + (field (name Value) TEENSY-3.1) + (field (name Footprint) ~) + (field (name Datasheet) ~)) + (pins + (pin (num 1) (name GND) (type power_in)) + (pin (num 2) (name PB16) (type input)) + (pin (num 3) (name PB17) (type input)) + (pin (num 4) (name PD0) (type input)) + (pin (num 5) (name PA12) (type input)) + (pin (num 6) (name PA13) (type input)) + (pin (num 7) (name PD7) (type input)) + (pin (num 8) (name PD4) (type input)) + (pin (num 9) (name PD2) (type input)) + (pin (num 10) (name PD3) (type input)) + (pin (num 11) (name PC3) (type input)) + (pin (num 12) (name PC4) (type input)) + (pin (num 13) (name PC6) (type input)) + (pin (num 14) (name PC7) (type input)) + (pin (num 15) (name VBAT) (type power_in)) + (pin (num 16) (name 3.3V) (type power_out)) + (pin (num 17) (name GND) (type power_in)) + (pin (num 18) (name Program) (type input)) + (pin (num 19) (name A14/DAC) (type input)) + (pin (num 20) (name PC5) (type input)) + (pin (num 21) (name PD1) (type input)) + (pin (num 22) (name PC0) (type input)) + (pin (num 23) (name PB0) (type input)) + (pin (num 24) (name PB1) (type input)) + (pin (num 25) (name PB3) (type input)) + (pin (num 26) (name PB2) (type input)) + (pin (num 27) (name PD5) (type input)) + (pin (num 28) (name PD6) (type input)) + (pin (num 29) (name PC1) (type input)) + (pin (num 30) (name PC2) (type input)) + (pin (num 31) (name 3.3V) (type power_out)) + (pin (num 32) (name AGND) (type input)) + (pin (num 33) (name Vin) (type power_in)))) + (libpart (lib adafruit) (part ADAFRUIT-10DOF) + (fields + (field (name Reference) U) + (field (name Value) ADAFRUIT-10DOF) + (field (name Footprint) ~) + (field (name Datasheet) ~)) + (pins + (pin (num 1) (name VIN) (type power_in)) + (pin (num 2) (name 3Vo) (type power_in)) + (pin (num 3) (name GND) (type power_in)) + (pin (num 4) (name SCL) (type input)) + (pin (num 5) (name SDA) (type input)) + (pin (num 6) (name GINT) (type input)) + (pin (num 7) (name GRDY) (type input)) + (pin (num 8) (name LIN1) (type input)) + (pin (num 9) (name LIN2) (type input)) + (pin (num 10) (name LRDY) (type input)))) + (libpart (lib device) (part CP1) + (description "Condensateur polarise") + (footprints + (fp CP*) + (fp SM*)) + (fields + (field (name Reference) C) + (field (name Value) CP1) + (field (name Footprint) ~) + (field (name Datasheet) ~)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib device) (part R) + (description Resistance) + (footprints + (fp R?) + (fp SM0603) + (fp SM0805) + (fp R?-*) + (fp SM1206)) + (fields + (field (name Reference) R) + (field (name Value) R) + (field (name Footprint) ~) + (field (name Datasheet) ~)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib conn) (part CONN_2) + (description "Symbole general de connecteur") + (fields + (field (name Reference) P) + (field (name Value) CONN_2)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name PM) (type passive)))) + (libpart (lib conn) (part CONN_3) + (description "Symbole general de connecteur") + (fields + (field (name Reference) K) + (field (name Value) CONN_3)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name PM) (type passive)) + (pin (num 3) (name P3) (type passive)))) + (libpart (lib conn) (part CONN_4) + (description "Symbole general de connecteur") + (fields + (field (name Reference) P) + (field (name Value) CONN_4)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)) + (pin (num 4) (name P4) (type passive))))) + (libraries + (library (logical conn) + (uri /usr/share/kicad/library/conn.lib)) + (library (logical adafruit) + (uri ../lib/adafruit.lib)) + (library (logical pjrc) + (uri ../lib/pjrc.lib)) + (library (logical device) + (uri /usr/share/kicad/library/device.lib))) + (nets + (net (code 1) (name /AGND) + (node (ref U1) (pin 32)) + (node (ref R2) (pin 2)) + (node (ref P5) (pin 2))) + (net (code 2) (name VCC) + (node (ref P1) (pin 2)) + (node (ref P2) (pin 2)) + (node (ref C1) (pin 1)) + (node (ref K1) (pin 2)) + (node (ref K2) (pin 2)) + (node (ref K3) (pin 2)) + (node (ref K4) (pin 2)) + (node (ref K5) (pin 2)) + (node (ref K6) (pin 2)) + (node (ref U1) (pin 33)) + (node (ref U2) (pin 1)) + (node (ref P4) (pin 2)) + (node (ref P3) (pin 2))) + (net (code 3) (name "") + (node (ref K1) (pin 3)) + (node (ref U1) (pin 11))) + (net (code 4) (name "") + (node (ref P4) (pin 4)) + (node (ref U1) (pin 14))) + (net (code 5) (name "") + (node (ref U1) (pin 13)) + (node (ref P4) (pin 3))) + (net (code 6) (name "") + (node (ref K2) (pin 3)) + (node (ref U1) (pin 12))) + (net (code 7) (name "") + (node (ref U1) (pin 9)) + (node (ref P3) (pin 4))) + (net (code 8) (name "") + (node (ref P3) (pin 3)) + (node (ref U1) (pin 10))) + (net (code 9) (name "") + (node (ref P2) (pin 3)) + (node (ref U1) (pin 2))) + (net (code 10) (name "") + (node (ref P2) (pin 4)) + (node (ref U1) (pin 3))) + (net (code 11) (name "") + (node (ref U1) (pin 20))) + (net (code 12) (name "") + (node (ref U1) (pin 18))) + (net (code 13) (name "") + (node (ref U1) (pin 19))) + (net (code 14) (name "") + (node (ref U1) (pin 23))) + (net (code 15) (name "") + (node (ref U1) (pin 22))) + (net (code 16) (name "") + (node (ref U1) (pin 21))) + (net (code 17) (name "") + (node (ref U1) (pin 17))) + (net (code 18) (name "") + (node (ref U1) (pin 31))) + (net (code 19) (name "") + (node (ref U2) (pin 2))) + (net (code 20) (name "") + (node (ref U2) (pin 6))) + (net (code 21) (name "") + (node (ref U2) (pin 7))) + (net (code 22) (name "") + (node (ref U2) (pin 8))) + (net (code 23) (name "") + (node (ref U2) (pin 9))) + (net (code 24) (name "") + (node (ref U2) (pin 10))) + (net (code 25) (name "") + (node (ref U2) (pin 5)) + (node (ref U1) (pin 25))) + (net (code 26) (name "") + (node (ref U1) (pin 26)) + (node (ref U2) (pin 4))) + (net (code 27) (name GND) + (node (ref P4) (pin 1)) + (node (ref P3) (pin 1)) + (node (ref K5) (pin 3)) + (node (ref K4) (pin 3)) + (node (ref P2) (pin 1)) + (node (ref K3) (pin 3)) + (node (ref K6) (pin 3)) + (node (ref U1) (pin 1)) + (node (ref C1) (pin 2)) + (node (ref U2) (pin 3)) + (node (ref K2) (pin 1)) + (node (ref K1) (pin 1)) + (node (ref P1) (pin 1))) + (net (code 28) (name "") + (node (ref U1) (pin 29)) + (node (ref K4) (pin 1))) + (net (code 29) (name "") + (node (ref U1) (pin 28)) + (node (ref K5) (pin 1))) + (net (code 30) (name "") + (node (ref K6) (pin 1)) + (node (ref U1) (pin 27))) + (net (code 31) (name "") + (node (ref R1) (pin 2)) + (node (ref R2) (pin 1)) + (node (ref U1) (pin 24))) + (net (code 32) (name "") + (node (ref U1) (pin 4))) + (net (code 33) (name "") + (node (ref U1) (pin 5))) + (net (code 34) (name "") + (node (ref U1) (pin 6))) + (net (code 35) (name "") + (node (ref U1) (pin 7))) + (net (code 36) (name "") + (node (ref U1) (pin 8))) + (net (code 37) (name "") + (node (ref U1) (pin 15))) + (net (code 38) (name "") + (node (ref U1) (pin 16))) + (net (code 39) (name "") + (node (ref U1) (pin 30)) + (node (ref K3) (pin 1))) + (net (code 40) (name "") + (node (ref R1) (pin 1)) + (node (ref P5) (pin 1))))) \ No newline at end of file diff --git a/kicad/trifle/trifle.pro b/kicad/trifle/trifle.pro new file mode 100644 index 0000000..aa55c85 --- /dev/null +++ b/kicad/trifle/trifle.pro @@ -0,0 +1,91 @@ +update=Sat 28 Feb 2015 18:57:03 CET +version=1 +last_client=cvpcb +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[pcbnew/libraries] +LibName1=common +LibName2=adafruit +LibName3=pjrc +LibName4=sockets +LibName5=connect +LibName6=discret +LibName7=pin_array +LibName8=divers +LibName9=smd_capacitors +LibName10=smd_resistors +LibName11=smd_crystal&oscillator +LibName12=smd_dil +LibName13=smd_transistors +LibName14=libcms +LibName15=display +LibName16=led +LibName17=dip_sockets +LibName18=pga_sockets +LibName19=valves +LibDir=../lib +[general] +version=1 +[eeschema] +version=1 +LibDir=../lib +NetFmtName=PcbnewAdvanced +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=adafruit +LibName2=pjrc +LibName3=power +LibName4=device +LibName5=transistors +LibName6=conn +LibName7=linear +LibName8=regul +LibName9=74xx +LibName10=cmos4000 +LibName11=adc-dac +LibName12=memory +LibName13=xilinx +LibName14=special +LibName15=microcontrollers +LibName16=dsp +LibName17=microchip +LibName18=analog_switches +LibName19=motorola +LibName20=texas +LibName21=intel +LibName22=audio +LibName23=interface +LibName24=digital-audio +LibName25=philips +LibName26=display +LibName27=cypress +LibName28=siliconi +LibName29=opto +LibName30=atmel +LibName31=contrib +LibName32=valves +[cvpcb] +version=1 +NetIExt=net +[cvpcb/libraries] +EquName1=devcms diff --git a/kicad/trifle/trifle.sch b/kicad/trifle/trifle.sch new file mode 100644 index 0000000..266d63a --- /dev/null +++ b/kicad/trifle/trifle.sch @@ -0,0 +1,569 @@ +EESchema Schematic File Version 2 +LIBS:adafruit +LIBS:pjrc +LIBS:power +LIBS:device +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:special +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +EELAYER 27 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "Trifle Flight Control Unit" +Date "28 feb 2015" +Rev "1.0" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L ADAFRUIT-10DOF U2 +U 1 1 54DDF274 +P 6750 5100 +F 0 "U2" H 6750 5600 60 0000 C CNN +F 1 "ADAFRUIT-10DOF" H 6750 5450 60 0000 C CNN +F 2 "~" H 6750 5100 60 0000 C CNN +F 3 "~" H 6750 5100 60 0000 C CNN + 1 6750 5100 + 0 1 1 0 +$EndComp +$Comp +L TEENSY-3.1 U1 +U 1 1 54DE0E02 +P 4550 3850 +F 0 "U1" H 4550 4900 60 0000 C CNN +F 1 "TEENSY-3.1" V 4550 3850 60 0000 C CNN +F 2 "~" H 4500 4050 60 0000 C CNN +F 3 "~" H 4500 4050 60 0000 C CNN + 1 4550 3850 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR01 +U 1 1 54DE0E89 +P 3050 1300 +F 0 "#PWR01" H 3050 1400 30 0001 C CNN +F 1 "VCC" H 3050 1400 30 0000 C CNN +F 2 "" H 3050 1300 60 0000 C CNN +F 3 "" H 3050 1300 60 0000 C CNN + 1 3050 1300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR02 +U 1 1 54DE0E98 +P 3050 2100 +F 0 "#PWR02" H 3050 2100 30 0001 C CNN +F 1 "GND" H 3050 2030 30 0001 C CNN +F 2 "" H 3050 2100 60 0000 C CNN +F 3 "" H 3050 2100 60 0000 C CNN + 1 3050 2100 + 1 0 0 -1 +$EndComp +$Comp +L PWR_FLAG #FLG03 +U 1 1 54DE0EB1 +P 3100 1400 +F 0 "#FLG03" H 3100 1495 30 0001 C CNN +F 1 "PWR_FLAG" H 3100 1580 30 0000 C CNN +F 2 "" H 3100 1400 60 0000 C CNN +F 3 "" H 3100 1400 60 0000 C CNN + 1 3100 1400 + 0 1 1 0 +$EndComp +$Comp +L PWR_FLAG #FLG04 +U 1 1 54DE0EC0 +P 3100 2000 +F 0 "#FLG04" H 3100 2095 30 0001 C CNN +F 1 "PWR_FLAG" H 3100 2180 30 0000 C CNN +F 2 "" H 3100 2000 60 0000 C CNN +F 3 "" H 3100 2000 60 0000 C CNN + 1 3100 2000 + 0 1 1 0 +$EndComp +$Comp +L CONN_2 P1 +U 1 1 54DE0E5C +P 2250 1700 +F 0 "P1" V 2200 1700 40 0000 C CNN +F 1 "5Vin" V 2300 1700 40 0000 C CNN +F 2 "" H 2250 1700 60 0000 C CNN +F 3 "" H 2250 1700 60 0000 C CNN + 1 2250 1700 + -1 0 0 1 +$EndComp +$Comp +L CONN_3 K1 +U 1 1 54DE0FCB +P 2300 4300 +F 0 "K1" V 2250 4300 50 0000 C CNN +F 1 "AUX0" V 2350 4300 40 0000 C CNN +F 2 "" H 2300 4300 60 0000 C CNN +F 3 "" H 2300 4300 60 0000 C CNN + 1 2300 4300 + -1 0 0 1 +$EndComp +$Comp +L CONN_3 K2 +U 1 1 54DE0FD1 +P 2300 4800 +F 0 "K2" V 2250 4800 50 0000 C CNN +F 1 "AUX1" V 2350 4800 40 0000 C CNN +F 2 "" H 2300 4800 60 0000 C CNN +F 3 "" H 2300 4800 60 0000 C CNN + 1 2300 4800 + -1 0 0 1 +$EndComp +$Comp +L CONN_3 K3 +U 1 1 54DE0FD7 +P 6400 2350 +F 0 "K3" V 6350 2350 50 0000 C CNN +F 1 "M0" V 6450 2350 40 0000 C CNN +F 2 "" H 6400 2350 60 0000 C CNN +F 3 "" H 6400 2350 60 0000 C CNN + 1 6400 2350 + 0 -1 -1 0 +$EndComp +$Comp +L CONN_4 P2 +U 1 1 54DE1003 +P 2300 3150 +F 0 "P2" V 2250 3150 50 0000 C CNN +F 1 "TELE" V 2350 3150 50 0000 C CNN +F 2 "" H 2300 3150 60 0000 C CNN +F 3 "" H 2300 3150 60 0000 C CNN + 1 2300 3150 + -1 0 0 1 +$EndComp +$Comp +L CONN_3 K4 +U 1 1 54DE11AA +P 6800 2350 +F 0 "K4" V 6750 2350 50 0000 C CNN +F 1 "M1" V 6850 2350 40 0000 C CNN +F 2 "" H 6800 2350 60 0000 C CNN +F 3 "" H 6800 2350 60 0000 C CNN + 1 6800 2350 + 0 -1 -1 0 +$EndComp +$Comp +L CONN_3 K5 +U 1 1 54DE11B0 +P 7200 2350 +F 0 "K5" V 7150 2350 50 0000 C CNN +F 1 "M2" V 7250 2350 40 0000 C CNN +F 2 "" H 7200 2350 60 0000 C CNN +F 3 "" H 7200 2350 60 0000 C CNN + 1 7200 2350 + 0 -1 -1 0 +$EndComp +$Comp +L CONN_3 K6 +U 1 1 54DE11B6 +P 7600 2350 +F 0 "K6" V 7550 2350 50 0000 C CNN +F 1 "M3" V 7650 2350 40 0000 C CNN +F 2 "" H 7600 2350 60 0000 C CNN +F 3 "" H 7600 2350 60 0000 C CNN + 1 7600 2350 + 0 -1 -1 0 +$EndComp +$Comp +L CONN_2 P5 +U 1 1 54DE11F6 +P 8950 2850 +F 0 "P5" V 8900 2850 40 0000 C CNN +F 1 "BATT" V 9000 2850 40 0000 C CNN +F 2 "" H 8950 2850 60 0000 C CNN +F 3 "" H 8950 2850 60 0000 C CNN + 1 8950 2850 + 0 -1 -1 0 +$EndComp +$Comp +L R R1 +U 1 1 54DE1214 +P 8850 3500 +F 0 "R1" V 8930 3500 40 0000 C CNN +F 1 "100k" V 8857 3501 40 0000 C CNN +F 2 "~" V 8780 3500 30 0000 C CNN +F 3 "~" H 8850 3500 30 0000 C CNN + 1 8850 3500 + 1 0 0 -1 +$EndComp +$Comp +L R R2 +U 1 1 54DE1221 +P 8850 4100 +F 0 "R2" V 8930 4100 40 0000 C CNN +F 1 "33k" V 8857 4101 40 0000 C CNN +F 2 "~" V 8780 4100 30 0000 C CNN +F 3 "~" H 8850 4100 30 0000 C CNN + 1 8850 4100 + 1 0 0 -1 +$EndComp +$Comp +L CONN_4 P3 +U 1 1 54DE1236 +P 2300 3750 +F 0 "P3" V 2250 3750 50 0000 C CNN +F 1 "GPS" V 2350 3750 50 0000 C CNN +F 2 "" H 2300 3750 60 0000 C CNN +F 3 "" H 2300 3750 60 0000 C CNN + 1 2300 3750 + -1 0 0 1 +$EndComp +$Comp +L CONN_4 P4 +U 1 1 54DE123C +P 2300 5350 +F 0 "P4" V 2250 5350 50 0000 C CNN +F 1 "DIST" V 2350 5350 50 0000 C CNN +F 2 "" H 2300 5350 60 0000 C CNN +F 3 "" H 2300 5350 60 0000 C CNN + 1 2300 5350 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR05 +U 1 1 54DE14E7 +P 2750 5700 +F 0 "#PWR05" H 2750 5700 30 0001 C CNN +F 1 "GND" H 2750 5630 30 0001 C CNN +F 2 "" H 2750 5700 60 0000 C CNN +F 3 "" H 2750 5700 60 0000 C CNN + 1 2750 5700 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR06 +U 1 1 54DE15AD +P 2850 2800 +F 0 "#PWR06" H 2850 2900 30 0001 C CNN +F 1 "VCC" H 2850 2900 30 0000 C CNN +F 2 "" H 2850 2800 60 0000 C CNN +F 3 "" H 2850 2800 60 0000 C CNN + 1 2850 2800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7500 2700 7500 3500 +Wire Wire Line + 7100 2700 7100 3400 +Wire Wire Line + 6700 2700 6700 3300 +Wire Wire Line + 6300 2700 6300 3200 +Connection ~ 8850 3800 +Wire Wire Line + 8850 3750 8850 3850 +Wire Wire Line + 9050 4350 9050 3200 +Wire Wire Line + 8850 3200 8850 3250 +Connection ~ 2850 3200 +Wire Wire Line + 2650 3200 2850 3200 +Connection ~ 2850 3800 +Wire Wire Line + 2850 3800 2650 3800 +Connection ~ 2850 4300 +Wire Wire Line + 2850 4300 2650 4300 +Connection ~ 2850 4800 +Wire Wire Line + 2850 4800 2650 4800 +Wire Wire Line + 2850 5400 2650 5400 +Wire Wire Line + 2850 2800 2850 5400 +Connection ~ 2750 4900 +Wire Wire Line + 2750 4900 2650 4900 +Connection ~ 2750 4400 +Wire Wire Line + 2750 4400 2650 4400 +Connection ~ 2750 3900 +Wire Wire Line + 2650 3900 2750 3900 +Connection ~ 2750 5500 +Wire Wire Line + 2750 3300 2650 3300 +Wire Wire Line + 2750 3300 2750 5700 +Wire Wire Line + 2750 5500 2650 5500 +Wire Wire Line + 3750 4200 3950 4200 +Wire Wire Line + 3750 5200 3750 4200 +Wire Wire Line + 2650 5200 3750 5200 +Wire Wire Line + 3650 5300 2650 5300 +Wire Wire Line + 3650 4100 3650 5300 +Wire Wire Line + 3950 4100 3650 4100 +Wire Wire Line + 3550 4000 3950 4000 +Wire Wire Line + 3550 4700 3550 4000 +Wire Wire Line + 2650 4700 3550 4700 +Wire Wire Line + 3450 3900 3950 3900 +Wire Wire Line + 3450 4200 2650 4200 +Wire Wire Line + 3450 3900 3450 4200 +Wire Wire Line + 3550 3600 3550 3700 +Wire Wire Line + 3450 3700 2650 3700 +Wire Wire Line + 3450 3800 3450 3700 +Wire Wire Line + 2650 3600 3550 3600 +Wire Wire Line + 3450 3800 3950 3800 +Wire Wire Line + 3550 3700 3950 3700 +Wire Wire Line + 3250 3100 2650 3100 +Wire Wire Line + 3350 3000 3250 3100 +Wire Wire Line + 3950 3000 3350 3000 +Wire Wire Line + 3350 3100 3950 3100 +Wire Wire Line + 3250 3000 3350 3100 +Wire Wire Line + 2650 3000 3250 3000 +Connection ~ 3050 2000 +Wire Wire Line + 2850 2000 3100 2000 +Wire Wire Line + 2850 1800 2850 2000 +Wire Wire Line + 2600 1800 2850 1800 +Connection ~ 3050 1400 +Wire Wire Line + 2850 1400 3100 1400 +Wire Wire Line + 2850 1600 2850 1400 +Wire Wire Line + 2600 1600 2850 1600 +Wire Wire Line + 3050 1300 3050 1500 +Wire Wire Line + 3050 1900 3050 2100 +Wire Wire Line + 8850 4350 9050 4350 +Wire Wire Line + 8950 4400 8950 4350 +Connection ~ 8950 4350 +Text Label 8950 4400 3 60 ~ 0 +AGND +$Comp +L GND #PWR07 +U 1 1 54DE20F2 +P 7900 2950 +F 0 "#PWR07" H 7900 2950 30 0001 C CNN +F 1 "GND" H 7900 2880 30 0001 C CNN +F 2 "" H 7900 2950 60 0000 C CNN +F 3 "" H 7900 2950 60 0000 C CNN + 1 7900 2950 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR08 +U 1 1 54DE20FE +P 6050 2650 +F 0 "#PWR08" H 6050 2750 30 0001 C CNN +F 1 "VCC" H 6050 2750 30 0000 C CNN +F 2 "" H 6050 2650 60 0000 C CNN +F 3 "" H 6050 2650 60 0000 C CNN + 1 6050 2650 + 1 0 0 -1 +$EndComp +Text Label 5150 3000 0 60 ~ 0 +AGND +Wire Wire Line + 6400 2700 6400 2750 +Wire Wire Line + 6050 2750 7600 2750 +Wire Wire Line + 6050 2750 6050 2650 +Wire Wire Line + 6800 2750 6800 2700 +Connection ~ 6400 2750 +Wire Wire Line + 7200 2750 7200 2700 +Connection ~ 6800 2750 +Wire Wire Line + 7600 2750 7600 2700 +Connection ~ 7200 2750 +Wire Wire Line + 6500 2700 6500 2850 +Wire Wire Line + 6500 2850 7900 2850 +Wire Wire Line + 6900 2850 6900 2700 +Wire Wire Line + 7300 2850 7300 2700 +Connection ~ 6900 2850 +Wire Wire Line + 7700 2850 7700 2700 +Connection ~ 7300 2850 +Connection ~ 7700 2850 +Wire Wire Line + 7900 2850 7900 2950 +Wire Wire Line + 6300 3200 5150 3200 +Wire Wire Line + 6700 3300 5150 3300 +Wire Wire Line + 7100 3400 5150 3400 +Wire Wire Line + 7500 3500 5150 3500 +$Comp +L VCC #PWR09 +U 1 1 54DE274A +P 6500 4500 +F 0 "#PWR09" H 6500 4600 30 0001 C CNN +F 1 "VCC" H 6500 4600 30 0000 C CNN +F 2 "" H 6500 4500 60 0000 C CNN +F 3 "" H 6500 4500 60 0000 C CNN + 1 6500 4500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6500 4650 6650 4650 +$Comp +L GND #PWR010 +U 1 1 54DE2805 +P 6500 5700 +F 0 "#PWR010" H 6500 5700 30 0001 C CNN +F 1 "GND" H 6500 5630 30 0001 C CNN +F 2 "" H 6500 5700 60 0000 C CNN +F 3 "" H 6500 5700 60 0000 C CNN + 1 6500 5700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6500 4650 6500 4500 +Wire Wire Line + 6650 4850 6500 4850 +Wire Wire Line + 6500 4850 6500 5700 +Wire Wire Line + 6000 4950 6000 3600 +Wire Wire Line + 5900 3700 5900 5050 +Wire Wire Line + 5150 3800 8850 3800 +NoConn ~ 3950 3200 +NoConn ~ 3950 3300 +NoConn ~ 3950 3400 +NoConn ~ 3950 3500 +NoConn ~ 3950 3600 +NoConn ~ 3950 4400 +NoConn ~ 3950 4500 +NoConn ~ 3950 4600 +NoConn ~ 3950 4700 +NoConn ~ 3950 4800 +$Comp +L GND #PWR011 +U 1 1 54DE2AC4 +P 3500 2900 +F 0 "#PWR011" H 3500 2900 30 0001 C CNN +F 1 "GND" H 3500 2830 30 0001 C CNN +F 2 "" H 3500 2900 60 0000 C CNN +F 3 "" H 3500 2900 60 0000 C CNN + 1 3500 2900 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR012 +U 1 1 54DE2AE8 +P 5200 2700 +F 0 "#PWR012" H 5200 2800 30 0001 C CNN +F 1 "VCC" H 5200 2800 30 0000 C CNN +F 2 "" H 5200 2700 60 0000 C CNN +F 3 "" H 5200 2700 60 0000 C CNN + 1 5200 2700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3950 2750 3950 2900 +Wire Wire Line + 3500 2750 3950 2750 +Wire Wire Line + 3500 2750 3500 2900 +Wire Wire Line + 5150 2900 5200 2900 +Wire Wire Line + 5200 2900 5200 2700 +NoConn ~ 5150 3900 +NoConn ~ 5150 4000 +NoConn ~ 5150 4100 +NoConn ~ 5150 4200 +NoConn ~ 5150 3100 +NoConn ~ 6650 4750 +NoConn ~ 6650 5150 +NoConn ~ 6650 5250 +NoConn ~ 6650 5350 +NoConn ~ 6650 5450 +NoConn ~ 6650 5550 +Wire Wire Line + 6650 4950 6000 4950 +Wire Wire Line + 5900 5050 6650 5050 +Wire Wire Line + 5150 3700 5900 3700 +Wire Wire Line + 6000 3600 5150 3600 +$Comp +L CP1 C1 +U 1 1 54DE0F12 +P 3050 1700 +F 0 "C1" H 3100 1800 50 0000 L CNN +F 1 "220uF" H 3100 1600 50 0000 L CNN +F 2 "~" H 3050 1700 60 0000 C CNN +F 3 "~" H 3050 1700 60 0000 C CNN + 1 3050 1700 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/trifle-board.pdf b/trifle-board.pdf new file mode 100644 index 0000000..75bc3bf Binary files /dev/null and b/trifle-board.pdf differ diff --git a/trifle-schematic.pdf b/trifle-schematic.pdf new file mode 100644 index 0000000..a6e7d93 Binary files /dev/null and b/trifle-schematic.pdf differ -- cgit v1.2.3