From b872ee2649c7b56df413fbde746ee68312c17abd Mon Sep 17 00:00:00 2001 From: Jakob Odersky Date: Sun, 19 Nov 2017 23:29:03 -0800 Subject: Apply new formatting --- src/main/scala/xyz/driver/core/app/init.scala | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) (limited to 'src/main/scala/xyz/driver/core/app/init.scala') diff --git a/src/main/scala/xyz/driver/core/app/init.scala b/src/main/scala/xyz/driver/core/app/init.scala index 36eaeda..6cdd46f 100644 --- a/src/main/scala/xyz/driver/core/app/init.scala +++ b/src/main/scala/xyz/driver/core/app/init.scala @@ -90,11 +90,12 @@ object init { ApplicationContext(config, time, log) } - def createDefaultApplication(modules: Seq[Module], - buildInfo: RequiredBuildInfo, - actorSystem: ActorSystem, - tracer: Tracer, - context: ApplicationContext) = { + def createDefaultApplication( + modules: Seq[Module], + buildInfo: RequiredBuildInfo, + actorSystem: ActorSystem, + tracer: Tracer, + context: ApplicationContext) = { val scheme = context.config.getString("application.scheme") val baseUrl = context.config.getString("application.baseUrl") val port = context.config.getInt("application.port") -- cgit v1.2.3