From 8adbe6a58562d6dd5c893b88b395ac46c2425e42 Mon Sep 17 00:00:00 2001 From: Martin Odersky Date: Thu, 9 Feb 2006 16:26:09 +0000 Subject: --- test/files/neg/bug521.scala | 25 +++++++++++++++++++++++++ 1 file changed, 25 insertions(+) create mode 100755 test/files/neg/bug521.scala (limited to 'test/files/neg/bug521.scala') diff --git a/test/files/neg/bug521.scala b/test/files/neg/bug521.scala new file mode 100755 index 0000000000..065f65557c --- /dev/null +++ b/test/files/neg/bug521.scala @@ -0,0 +1,25 @@ + +// AbstractFile.scala +package test; +import java.io._; +abstract class AbstractFile { + def path : String; +} + +// PlainFile.scala +//package scala.tools.nsc.io; +//import java.io._; +class PlainFile(val file : File) extends AbstractFile {} +// VirtualFile.scala +//package scala.tools.nsc.io; +class VirtualFile(val name : String, val path : String) extends AbstractFile { +} +// ZipArchive.scala +//package scala.tools.nsc.io; +//import java.io._; +import java.util.zip._; +final class ZipArchive(val file : File, archive : ZipFile) extends PlainFile(file) { + class Entry(name : String, path : String) extends VirtualFile(name, path) { + override def path = ""; + } +} -- cgit v1.2.3