From 1bb3f81b2e10dec7b949aea0dcb6d58726f4f4f0 Mon Sep 17 00:00:00 2001 From: michelou Date: Wed, 30 Jan 2008 11:16:10 +0000 Subject: updated some test files --- test/files/run/t0091.scala | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 test/files/run/t0091.scala (limited to 'test/files/run/t0091.scala') diff --git a/test/files/run/t0091.scala b/test/files/run/t0091.scala new file mode 100644 index 0000000000..eaddde0dbf --- /dev/null +++ b/test/files/run/t0091.scala @@ -0,0 +1,13 @@ +trait A { def x : Int } +trait B { val m : A } +object C extends B { + object m extends A { def x = 5 } +} +object Test { + // The type annotation here is necessary, otherwise + // the compiler would reference C$m$ directly. + def o : B = C + def main(argv : Array[String]) : Unit = { + println(o.m.x) + } +} -- cgit v1.2.3