aboutsummaryrefslogtreecommitdiff
path: root/bench/tests/exhaustivity-T.scala
diff options
context:
space:
mode:
Diffstat (limited to 'bench/tests/exhaustivity-T.scala')
-rw-r--r--bench/tests/exhaustivity-T.scala28
1 files changed, 28 insertions, 0 deletions
diff --git a/bench/tests/exhaustivity-T.scala b/bench/tests/exhaustivity-T.scala
new file mode 100644
index 000000000..4d094758a
--- /dev/null
+++ b/bench/tests/exhaustivity-T.scala
@@ -0,0 +1,28 @@
+
+sealed trait O
+object A extends O
+object B extends O
+
+object Test {
+
+ def test(x: O) =
+ (x, x, x, x, x, x, x, x) match {
+ case (A, A, A, A, A, A, A, A) => 1
+ case (B, B, B, B, B, B, B, B) => 2
+ case (_, A, A, A, A, A, A, A) => 3
+ case (_, B, B, B, B, B, B, B) => 4
+ case (_, _, A, A, A, A, A, A) => 5
+ case (_, _, B, B, B, B, B, B) => 6
+ case (_, _, _, A, A, A, A, A) => 7
+ case (_, _, _, B, B, B, B, B) => 8
+ case (_, _, _, _, A, A, A, A) => 9
+ case (_, _, _, _, B, B, B, B) => 10
+ case (_, _, _, _, _, A, A, A) => 11
+ case (_, _, _, _, _, B, B, B) => 12
+ case (_, _, _, _, _, _, A, A) => 13
+ case (_, _, _, _, _, _, B, B) => 14
+ case (_, _, _, _, _, _, _, A) => 15
+ case (_, _, _, _, _, _, _, B) => 16
+
+ }
+}