aboutsummaryrefslogtreecommitdiff
path: root/tests/pending/pos/t8046c.scala
diff options
context:
space:
mode:
Diffstat (limited to 'tests/pending/pos/t8046c.scala')
-rw-r--r--tests/pending/pos/t8046c.scala19
1 files changed, 0 insertions, 19 deletions
diff --git a/tests/pending/pos/t8046c.scala b/tests/pending/pos/t8046c.scala
deleted file mode 100644
index f05b4c15b..000000000
--- a/tests/pending/pos/t8046c.scala
+++ /dev/null
@@ -1,19 +0,0 @@
-trait One {
- type Op[A]
- type Alias[A] = Op[A]
-}
-
-trait Three extends One {
- trait Op[A] extends (A => A)
-
- def f1(f: Op[Int]) = f(5)
- def f2(f: Alias[Int]) = f(5)
- def f3[T <: Op[Int]](f: T) = f(5)
- def f4[T <: Alias[Int]](f: T) = f(5)
- // ./a.scala:12: error: type mismatch;
- // found : Int(5)
- // required: T1
- // def f4[T <: Alias[Int]](f: T) = f(5)
- // ^
-}
-