aboutsummaryrefslogtreecommitdiff
path: root/tests/pickling/i1202b.scala
diff options
context:
space:
mode:
Diffstat (limited to 'tests/pickling/i1202b.scala')
-rw-r--r--tests/pickling/i1202b.scala9
1 files changed, 9 insertions, 0 deletions
diff --git a/tests/pickling/i1202b.scala b/tests/pickling/i1202b.scala
new file mode 100644
index 000000000..09d06170f
--- /dev/null
+++ b/tests/pickling/i1202b.scala
@@ -0,0 +1,9 @@
+package i1202
+
+class Test() {
+ import Test._
+ val myStatus = Unknown
+}
+object Test {
+ private val Unknown: Int = 0
+}