aboutsummaryrefslogtreecommitdiff
path: root/tests/untried/pos/t8054.scala
diff options
context:
space:
mode:
Diffstat (limited to 'tests/untried/pos/t8054.scala')
-rw-r--r--tests/untried/pos/t8054.scala31
1 files changed, 31 insertions, 0 deletions
diff --git a/tests/untried/pos/t8054.scala b/tests/untried/pos/t8054.scala
new file mode 100644
index 000000000..66a55e8b5
--- /dev/null
+++ b/tests/untried/pos/t8054.scala
@@ -0,0 +1,31 @@
+trait D {
+ trait Manifest {
+ class Entry
+ }
+
+ val M: Manifest
+
+ def m: M.Entry = ???
+}
+
+object D1 extends D {
+ object M extends Manifest
+}
+
+object D2 extends D {
+ val M: Manifest = ???
+}
+
+object Hello {
+
+ def main(args: Array[String]): Unit = {
+ // 2.10.3 - ok
+ // 2.11.0-M7 - type mismatch; found : Seq[DB1.MANIFEST.Entry]
+ // required: Seq[DB1.MANIFEST.Entry]
+ val t1: D1.M.Entry = D1.m
+
+ // 2.10.3 - ok
+ // 2.11.0-M7 - ok
+ val t2: D2.M.Entry = D2.m
+ }
+}