summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorLi Haoyi <haoyi.sg@gmail.com>2019-06-16 18:50:06 -0700
committerLi Haoyi <haoyi.sg@gmail.com>2019-06-16 18:50:06 -0700
commit7ead16fb5e8ee58576524cb5661c33533e6cd888 (patch)
tree89d0d9512cdf74e89e7ba42f35206a40b8980e8a
parent158d117d7e92a4705fdd783952032bdc14bf92e8 (diff)
downloadmill-7ead16fb5e8ee58576524cb5661c33533e6cd888.tar.gz
mill-7ead16fb5e8ee58576524cb5661c33533e6cd888.tar.bz2
mill-7ead16fb5e8ee58576524cb5661c33533e6cd888.zip
utest 0.7.1
-rwxr-xr-xbuild.sc2
-rw-r--r--contrib/bloop/test/src/mill/contrib/bloop/BloopTests.scala14
-rw-r--r--contrib/buildinfo/test/src/BuildInfoTests.scala12
-rw-r--r--contrib/flyway/test/src/BuildTest.scala6
-rw-r--r--contrib/playlib/test/src/mill/playlib/PlayModuleTests.scala14
-rw-r--r--contrib/playlib/test/src/mill/playlib/PlaySingleApiModuleTests.scala10
-rw-r--r--contrib/playlib/test/src/mill/playlib/PlaySingleModuleTests.scala10
-rw-r--r--contrib/playlib/test/src/mill/playlib/RouterModuleTests.scala6
-rw-r--r--contrib/scalapblib/test/src/TutorialTests.scala10
-rw-r--r--contrib/scoverage/test/src/HelloWorldTests.scala12
-rw-r--r--contrib/tut/test/src/TutTests.scala8
-rw-r--r--contrib/twirllib/test/src/HelloWorldTests.scala8
-rw-r--r--integration/test/src/AcyclicTests.scala4
-rw-r--r--integration/test/src/AmmoniteTests.scala2
-rw-r--r--integration/test/src/BetterFilesTests.scala2
-rw-r--r--integration/test/src/CaffeineTests.scala2
-rw-r--r--integration/test/src/DocAnnotationsTests.scala2
-rw-r--r--integration/test/src/JawnTests.scala4
-rw-r--r--integration/test/src/LargeProjectTests.scala2
-rw-r--r--integration/test/src/PlayJsonTests.scala8
-rw-r--r--integration/test/src/UpickleTests.scala6
-rw-r--r--main/test/src/define/ApplicativeTests.scala38
-rw-r--r--main/test/src/define/BasePathTests.scala20
-rw-r--r--main/test/src/define/CacherTests.scala12
-rw-r--r--main/test/src/define/DiscoverTests.scala16
-rw-r--r--main/test/src/define/GraphTests.scala46
-rw-r--r--main/test/src/define/MacroErrorTests.scala24
-rw-r--r--main/test/src/eval/CrossTests.scala8
-rw-r--r--main/test/src/eval/EvaluationTests.scala38
-rw-r--r--main/test/src/eval/FailureTests.scala8
-rw-r--r--main/test/src/eval/JavaCompileJarTests.scala2
-rw-r--r--main/test/src/eval/ModuleTests.scala4
-rw-r--r--main/test/src/eval/TarjanTests.scala24
-rw-r--r--main/test/src/eval/TaskTests.scala6
-rw-r--r--main/test/src/main/ClientServerTests.scala4
-rw-r--r--main/test/src/main/ForeignBuildsTest.scala2
-rw-r--r--main/test/src/main/ForeignConflictTest.scala2
-rw-r--r--main/test/src/main/JavaCompileJarTests.scala2
-rw-r--r--main/test/src/main/MainTests.scala124
-rw-r--r--main/test/src/util/ParseArgsTest.scala60
-rw-r--r--scalajslib/test/resources/hello-js-world/test/src/utest/ArgsParserTests.scala4
-rw-r--r--scalajslib/test/resources/hello-js-world/test/src/utest/MainTests.scala6
-rw-r--r--scalajslib/test/resources/multi-module/client/test/src/MainTests.scala10
-rw-r--r--scalajslib/test/src/HelloJSWorldTests.scala64
-rw-r--r--scalajslib/test/src/MultiModuleTests.scala8
-rw-r--r--scalajslib/test/src/NodeJSConfigTests.scala12
-rw-r--r--scalalib/test/src/GenIdeaTests.scala2
-rw-r--r--scalalib/test/src/HelloJavaTests.scala6
-rw-r--r--scalalib/test/src/HelloWorldTests.scala136
-rw-r--r--scalalib/test/src/ResolveDepsTests.scala18
-rw-r--r--scalalib/test/src/VersionControlTests.scala6
-rw-r--r--scalalib/test/src/dependency/metadata/MetadataLoaderFactoryTests.scala6
-rw-r--r--scalalib/test/src/dependency/updates/UpdatesFinderTests.scala60
-rw-r--r--scalalib/test/src/dependency/versions/VersionTests.scala14
-rw-r--r--scalalib/test/src/publish/IvyTests.scala6
-rw-r--r--scalalib/test/src/publish/PomTests.scala28
-rw-r--r--scalalib/test/src/scalafmt/ScalafmtTests.scala6
-rw-r--r--scalanativelib/test/resources/hello-native-world/test/src/utest/tests/ArgsParserTests.scala4
-rw-r--r--scalanativelib/test/resources/hello-native-world/test/src/utest/tests/MainTests.scala6
-rw-r--r--scalanativelib/test/src/HelloNativeWorldTests.scala24
60 files changed, 505 insertions, 505 deletions
diff --git a/build.sc b/build.sc
index aed391d4..a9527c1c 100755
--- a/build.sc
+++ b/build.sc
@@ -50,7 +50,7 @@ trait MillModule extends MillApiModule{ outer =>
def moduleDeps =
if (this == main.test) Seq(main)
else Seq(outer, main.test)
- def ivyDeps = Agg(ivy"com.lihaoyi::utest:0.6.4")
+ def ivyDeps = Agg(ivy"com.lihaoyi::utest:0.7.1")
def testFrameworks = Seq("mill.UTestFramework")
def scalacPluginClasspath =
super.scalacPluginClasspath() ++ Seq(main.moduledefs.jar())
diff --git a/contrib/bloop/test/src/mill/contrib/bloop/BloopTests.scala b/contrib/bloop/test/src/mill/contrib/bloop/BloopTests.scala
index 0af93c46..921e23b7 100644
--- a/contrib/bloop/test/src/mill/contrib/bloop/BloopTests.scala
+++ b/contrib/bloop/test/src/mill/contrib/bloop/BloopTests.scala
@@ -64,7 +64,7 @@ object BloopTests extends TestSuite {
read[BloopFile](os.read(workdir / ".bloop" / jsonFile))
def tests: Tests = Tests {
- 'genBloopTests - {
+ test("genBloopTests"){
testEvaluator(testBloop.install())
val scalaModuleConfig = readBloopConf("scalaModule.json")
@@ -73,7 +73,7 @@ object BloopTests extends TestSuite {
val scalajsModuleConfig = readBloopConf("scalajsModule.json")
val scalanativeModuleConfig = readBloopConf("scalanativeModule.json")
- 'scalaModule - {
+ test("scalaModule"){
val p = scalaModuleConfig.project
val name = p.name
val sources = p.sources.map(Path(_))
@@ -103,7 +103,7 @@ object BloopTests extends TestSuite {
assert(artifacts.map(_.name).distinct == List("bloop-config_2.12"))
assert(artifacts.flatMap(_.classifier).contains("sources"))
}
- 'scalaModuleTest - {
+ test("scalaModuleTest"){
val p = testModuleConfig.project
val name = p.name
val sources = p.sources.map(Path(_))
@@ -116,16 +116,16 @@ object BloopTests extends TestSuite {
assert(dep == "scalaModule")
assert(mainModuleClasspath.forall(p.classpath.contains))
}
- 'configAccessTest - {
+ test("configAccessTest"){
val (accessedConfig, _) =
testEvaluator(build.scalaModule.bloop.config).asSuccess.get.value.right.get
assert(accessedConfig == scalaModuleConfig)
}
- 'noDepTest - {
+ test("noDepTest"){
val cp = scalaModule2Config.project.classpath.map(_.toString)
assert(cp.exists(_.contains("scala-library-2.12.8")))
}
- 'scalajsModule - {
+ test("scalajsModule"){
val p = scalajsModuleConfig.project
val name = p.name
val sources = p.sources.map(Path(_))
@@ -139,7 +139,7 @@ object BloopTests extends TestSuite {
assert(platform.config.kind == BloopConfig.ModuleKindJS.CommonJSModule)
assert(platform.config.mode == BloopConfig.LinkerMode.Release)
}
- 'scalanativeModule - {
+ test("scalanativeModule"){
val p = scalanativeModuleConfig.project
val name = p.name
val sources = p.sources.map(Path(_))
diff --git a/contrib/buildinfo/test/src/BuildInfoTests.scala b/contrib/buildinfo/test/src/BuildInfoTests.scala
index 9b840ed9..74b69b76 100644
--- a/contrib/buildinfo/test/src/BuildInfoTests.scala
+++ b/contrib/buildinfo/test/src/BuildInfoTests.scala
@@ -55,8 +55,8 @@ object BuildInfoTests extends TestSuite {
def tests: Tests = Tests {
- 'buildinfo - {
- 'createSourcefile - workspaceTest(BuildInfo){ eval =>
+ test("buildinfo"){
+ test("createSourcefile") - workspaceTest(BuildInfo){ eval =>
val expected =
s"""|
|object BuildInfo {
@@ -70,7 +70,7 @@ object BuildInfoTests extends TestSuite {
)
}
- 'notCreateEmptySourcefile - workspaceTest(EmptyBuildInfo){ eval =>
+ test("notCreateEmptySourcefile") - workspaceTest(EmptyBuildInfo){ eval =>
val Right(((result, _), evalCount)) = eval.apply(EmptyBuildInfo.generatedBuildInfo)
assert(
result.isEmpty &&
@@ -78,7 +78,7 @@ object BuildInfoTests extends TestSuite {
)
}
- 'supportCustomSettings - workspaceTest(BuildInfoSettings){ eval =>
+ test("supportCustomSettings") - workspaceTest(BuildInfoSettings){ eval =>
val expected =
s"""|package foo
|
@@ -93,12 +93,12 @@ object BuildInfoTests extends TestSuite {
)
}
- 'compile - workspaceTest(BuildInfo){ eval =>
+ test("compile") - workspaceTest(BuildInfo){ eval =>
val Right((result, evalCount)) = eval.apply(BuildInfo.compile)
assert(true)
}
- 'run - workspaceTest(BuildInfo){ eval =>
+ test("run") - workspaceTest(BuildInfo){ eval =>
val runResult = eval.outPath / "hello-mill"
val Right((result, evalCount)) = eval.apply(BuildInfo.run(runResult.toString))
assert(
diff --git a/contrib/flyway/test/src/BuildTest.scala b/contrib/flyway/test/src/BuildTest.scala
index 961e0522..d8c9d033 100644
--- a/contrib/flyway/test/src/BuildTest.scala
+++ b/contrib/flyway/test/src/BuildTest.scala
@@ -19,13 +19,13 @@ object BuildTest extends TestSuite {
}
def tests = Tests {
- 'clean - {
+ test("clean"){
val eval = new TestEvaluator(Build)
val Right((_, count)) = eval(Build.build.flywayClean())
assert(count > 0)
}
- 'migrate - {
+ test("migrate"){
val eval = new TestEvaluator(Build)
val Right((res, count)) = eval(Build.build.flywayMigrate())
assert(
@@ -39,7 +39,7 @@ object BuildTest extends TestSuite {
)
}
- 'info - {
+ test("info"){
val eval = new TestEvaluator(Build)
val Right((_, count)) = eval(Build.build.flywayInfo())
assert(count > 0)
diff --git a/contrib/playlib/test/src/mill/playlib/PlayModuleTests.scala b/contrib/playlib/test/src/mill/playlib/PlayModuleTests.scala
index e862249d..60f4da99 100644
--- a/contrib/playlib/test/src/mill/playlib/PlayModuleTests.scala
+++ b/contrib/playlib/test/src/mill/playlib/PlayModuleTests.scala
@@ -32,8 +32,8 @@ object PlayModuleTests extends TestSuite {
}
def tests: Tests = Tests {
- 'playVersion - {
- 'fromBuild - workspaceTest(playmulti) { eval =>
+ test("playVersion"){
+ test("fromBuild") - workspaceTest(playmulti) { eval =>
val Right((result, evalCount)) = eval.apply(playmulti.core.playVersion)
assert(
result == "2.7.0",
@@ -41,8 +41,8 @@ object PlayModuleTests extends TestSuite {
)
}
}
- 'layout - {
- 'fromBuild - workspaceTest(playmulti) { eval =>
+ test("layout"){
+ test("fromBuild") - workspaceTest(playmulti) { eval =>
val Right((conf, _)) = eval.apply(playmulti.core.conf)
val Right((app, _)) = eval.apply(playmulti.core.app)
val Right((sources, _)) = eval.apply(playmulti.core.sources)
@@ -59,8 +59,8 @@ object PlayModuleTests extends TestSuite {
)
}
}
- 'dependencies - {
- 'fromBuild - workspaceTest(playmulti) { eval =>
+ test("dependencies"){
+ test("fromBuild") - workspaceTest(playmulti) { eval =>
val Right((deps, evalCount)) = eval.apply(playmulti.core.ivyDeps)
val expectedModules = Seq[String](
"play",
@@ -76,7 +76,7 @@ object PlayModuleTests extends TestSuite {
)
}
}
- 'compile - workspaceTest(playmulti) { eval =>
+ test("compile") - workspaceTest(playmulti) { eval =>
val eitherResult = eval.apply(playmulti.core.compile)
val Right((result, evalCount)) = eitherResult
val outputFiles = ls.rec(result.classes.path).filter(_.isFile)
diff --git a/contrib/playlib/test/src/mill/playlib/PlaySingleApiModuleTests.scala b/contrib/playlib/test/src/mill/playlib/PlaySingleApiModuleTests.scala
index df69ca83..31cea0e0 100644
--- a/contrib/playlib/test/src/mill/playlib/PlaySingleApiModuleTests.scala
+++ b/contrib/playlib/test/src/mill/playlib/PlaySingleApiModuleTests.scala
@@ -29,8 +29,8 @@ object PlaySingleApiModuleTests extends TestSuite {
}
def tests: Tests = Tests {
- 'playVersion - {
- 'fromBuild - workspaceTest(playsingleapi) { eval =>
+ test("playVersion"){
+ test("fromBuild") - workspaceTest(playsingleapi) { eval =>
val Right((result, evalCount)) = eval.apply(playsingleapi.playVersion)
assert(
result == "2.7.0",
@@ -38,8 +38,8 @@ object PlaySingleApiModuleTests extends TestSuite {
)
}
}
- 'layout - {
- 'fromBuild - workspaceTest(playsingleapi) { eval =>
+ test("layout"){
+ test("fromBuild") - workspaceTest(playsingleapi) { eval =>
val Right((conf, _)) = eval.apply(playsingleapi.conf)
val Right((app, _)) = eval.apply(playsingleapi.app)
val Right((sources, _)) = eval.apply(playsingleapi.sources)
@@ -56,7 +56,7 @@ object PlaySingleApiModuleTests extends TestSuite {
)
}
}
- 'compile - workspaceTest(playsingleapi) { eval =>
+ test("compile") - workspaceTest(playsingleapi) { eval =>
val eitherResult = eval.apply(playsingleapi.compile)
val Right((result, evalCount)) = eitherResult
val outputFiles = ls.rec(result.classes.path).filter(_.isFile)
diff --git a/contrib/playlib/test/src/mill/playlib/PlaySingleModuleTests.scala b/contrib/playlib/test/src/mill/playlib/PlaySingleModuleTests.scala
index e139ae16..d037f44d 100644
--- a/contrib/playlib/test/src/mill/playlib/PlaySingleModuleTests.scala
+++ b/contrib/playlib/test/src/mill/playlib/PlaySingleModuleTests.scala
@@ -29,8 +29,8 @@ object PlaySingleModuleTests extends TestSuite {
}
def tests: Tests = Tests {
- 'playVersion - {
- 'fromBuild - workspaceTest(playsingle) { eval =>
+ test("playVersion"){
+ test("fromBuild") - workspaceTest(playsingle) { eval =>
val Right((result, evalCount)) = eval.apply(playsingle.playVersion)
assert(
result == "2.7.0",
@@ -38,8 +38,8 @@ object PlaySingleModuleTests extends TestSuite {
)
}
}
- 'layout - {
- 'fromBuild - workspaceTest(playsingle) { eval =>
+ test("layout"){
+ test("fromBuild") - workspaceTest(playsingle) { eval =>
val Right((conf, _)) = eval.apply(playsingle.conf)
val Right((app, _)) = eval.apply(playsingle.app)
val Right((sources, _)) = eval.apply(playsingle.sources)
@@ -56,7 +56,7 @@ object PlaySingleModuleTests extends TestSuite {
)
}
}
- 'compile - workspaceTest(playsingle) { eval =>
+ test("compile") - workspaceTest(playsingle) { eval =>
val eitherResult = eval.apply(playsingle.compile)
val Right((result, evalCount)) = eitherResult
val outputFiles = ls.rec(result.classes.path).filter(_.isFile)
diff --git a/contrib/playlib/test/src/mill/playlib/RouterModuleTests.scala b/contrib/playlib/test/src/mill/playlib/RouterModuleTests.scala
index 1aeed019..dbab30ca 100644
--- a/contrib/playlib/test/src/mill/playlib/RouterModuleTests.scala
+++ b/contrib/playlib/test/src/mill/playlib/RouterModuleTests.scala
@@ -46,9 +46,9 @@ object RouterModuleTests extends TestSuite {
}
def tests: Tests = Tests {
- 'playVersion - {
+ test("playVersion"){
- 'fromBuild - workspaceTest(HelloWorld) { eval =>
+ test("fromBuild") - workspaceTest(HelloWorld) { eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.playVersion)
assert(
@@ -57,7 +57,7 @@ object RouterModuleTests extends TestSuite {
)
}
}
- 'compileRouter - workspaceTest(HelloWorld) { eval =>
+ test("compileRouter") - workspaceTest(HelloWorld) { eval =>
val eitherResult = eval.apply(HelloWorld.core.compileRouter)
val Right((result, evalCount)) = eitherResult
val outputFiles = ls.rec(result.classes.path).filter(_.isFile)
diff --git a/contrib/scalapblib/test/src/TutorialTests.scala b/contrib/scalapblib/test/src/TutorialTests.scala
index fe0ce8d5..ae9aa7dd 100644
--- a/contrib/scalapblib/test/src/TutorialTests.scala
+++ b/contrib/scalapblib/test/src/TutorialTests.scala
@@ -48,9 +48,9 @@ object TutorialTests extends TestSuite {
)
def tests: Tests = Tests {
- 'scalapbVersion - {
+ test("scalapbVersion"){
- 'fromBuild - workspaceTest(Tutorial) { eval =>
+ test("fromBuild") - workspaceTest(Tutorial) { eval =>
val Right((result, evalCount)) = eval.apply(Tutorial.core.scalaPBVersion)
assert(
@@ -60,8 +60,8 @@ object TutorialTests extends TestSuite {
}
}
- 'compileScalaPB - {
- 'calledDirectly - workspaceTest(Tutorial) { eval =>
+ test("compileScalaPB"){
+ test("calledDirectly") - workspaceTest(Tutorial) { eval =>
val Right((result, evalCount)) = eval.apply(Tutorial.core.compileScalaPB)
val outPath = protobufOutPath(eval)
@@ -86,7 +86,7 @@ object TutorialTests extends TestSuite {
// This throws a NullPointerException in coursier somewhere
//
- // 'triggeredByScalaCompile - workspaceTest(Tutorial) { eval =>
+ // test("triggeredByScalaCompile") - workspaceTest(Tutorial) { eval =>
// val Right((_, evalCount)) = eval.apply(Tutorial.core.compile)
// val outPath = protobufOutPath(eval)
diff --git a/contrib/scoverage/test/src/HelloWorldTests.scala b/contrib/scoverage/test/src/HelloWorldTests.scala
index 3d5a5114..5084f5bb 100644
--- a/contrib/scoverage/test/src/HelloWorldTests.scala
+++ b/contrib/scoverage/test/src/HelloWorldTests.scala
@@ -63,8 +63,8 @@ object HelloWorldTests extends utest.TestSuite {
}
def tests: utest.Tests = utest.Tests {
- "HelloWorld" - {
- "core" - {
+ test("HelloWorld"){
+ test("core"){
"scoverageVersion" - workspaceTest(HelloWorld) { eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.scoverageVersion)
@@ -73,7 +73,7 @@ object HelloWorldTests extends utest.TestSuite {
evalCount > 0
)
}
- "scoverage" - {
+ test("scoverage"){
"ivyDeps" - workspaceTest(HelloWorld) { eval =>
val Right((result, evalCount)) =
eval.apply(HelloWorld.core.scoverage.ivyDeps)
@@ -111,7 +111,7 @@ object HelloWorldTests extends utest.TestSuite {
assert(evalCount > 0)
}
}
- "test" - {
+ test("test"){
"upstreamAssemblyClasspath" - workspaceTest(HelloWorld) { eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.scoverage.upstreamAssemblyClasspath)
@@ -139,8 +139,8 @@ object HelloWorldTests extends utest.TestSuite {
}
}
}
- "HelloWorldSbt" - {
- "scoverage" - {
+ test("HelloWorldSbt"){
+ test("scoverage"){
"htmlReport" - workspaceTest(HelloWorldSbt, sbtResourcePath) { eval =>
val Right((_, _)) = eval.apply(HelloWorldSbt.core.test.compile)
val Right((result, evalCount)) = eval.apply(HelloWorldSbt.core.scoverage.htmlReport)
diff --git a/contrib/tut/test/src/TutTests.scala b/contrib/tut/test/src/TutTests.scala
index 468654bb..e778c3d5 100644
--- a/contrib/tut/test/src/TutTests.scala
+++ b/contrib/tut/test/src/TutTests.scala
@@ -43,8 +43,8 @@ object TutTests extends TestSuite {
}
def tests: Tests = Tests {
- 'tut - {
- 'createOutputFile - workspaceTest(TutTest) { eval =>
+ test("tut"){
+ test("createOutputFile") - workspaceTest(TutTest) { eval =>
val expectedPath =
eval.outPath / 'tutTargetDirectory / 'dest / "TutExample.md"
@@ -65,7 +65,7 @@ object TutTests extends TestSuite {
)
}
- 'supportCustomSettings - workspaceTest(TutCustomTest) { eval =>
+ test("supportCustomSettings") - workspaceTest(TutCustomTest) { eval =>
val defaultPath =
eval.outPath / 'tutTargetDirectory / 'dest / "TutExample.md"
val expectedPath =
@@ -89,7 +89,7 @@ object TutTests extends TestSuite {
)
}
- 'supportUsingLibraries - workspaceTest(TutLibrariesTest, resourcePath = resourcePathWithLibraries) { eval =>
+ test("supportUsingLibraries") - workspaceTest(TutLibrariesTest, resourcePath = resourcePathWithLibraries) { eval =>
val expectedPath =
eval.outPath / 'tutTargetDirectory / 'dest / "TutWithLibraries.md"
diff --git a/contrib/twirllib/test/src/HelloWorldTests.scala b/contrib/twirllib/test/src/HelloWorldTests.scala
index 67344ea6..e10b9dc1 100644
--- a/contrib/twirllib/test/src/HelloWorldTests.scala
+++ b/contrib/twirllib/test/src/HelloWorldTests.scala
@@ -75,9 +75,9 @@ object HelloWorldTests extends TestSuite {
)
def tests: Tests = Tests {
- 'twirlVersion - {
+ test("twirlVersion"){
- 'fromBuild - workspaceTest(HelloWorld, "hello-world") { eval =>
+ test("fromBuild") - workspaceTest(HelloWorld, "hello-world") { eval =>
val Right((result, evalCount)) =
eval.apply(HelloWorld.core.twirlVersion)
@@ -87,7 +87,7 @@ object HelloWorldTests extends TestSuite {
)
}
}
- 'compileTwirl - workspaceTest(HelloWorld, "hello-world") { eval =>
+ test("compileTwirl") - workspaceTest(HelloWorld, "hello-world") { eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.compileTwirl)
val outputFiles = os.walk(result.classes.path).filter(_.last.endsWith(".scala"))
@@ -119,7 +119,7 @@ object HelloWorldTests extends TestSuite {
assert(unchangedEvalCount == 0)
}
- 'compileTwirlInclusiveDot - workspaceTest(HelloWorldWithInclusiveDot, "hello-world-inclusive-dot") { eval =>
+ test("compileTwirlInclusiveDot") - workspaceTest(HelloWorldWithInclusiveDot, "hello-world-inclusive-dot") { eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldWithInclusiveDot.core.compileTwirl)
val outputFiles = os.walk(result.classes.path).filter(_.last.endsWith(".scala"))
diff --git a/integration/test/src/AcyclicTests.scala b/integration/test/src/AcyclicTests.scala
index 145c106d..e29dc16d 100644
--- a/integration/test/src/AcyclicTests.scala
+++ b/integration/test/src/AcyclicTests.scala
@@ -24,8 +24,8 @@ class AcyclicTests(fork: Boolean)
assert(!brokenCompile)
}
- 'scala2118 - mill.util.TestUtil.disableInJava9OrAbove(check("2.11.8"))
- 'scala2124 - check("2.12.4")
+ test("scala2118") - mill.util.TestUtil.disableInJava9OrAbove(check("2.11.8"))
+ test("scala2124") - check("2.12.4")
}
}
diff --git a/integration/test/src/AmmoniteTests.scala b/integration/test/src/AmmoniteTests.scala
index 5851a393..eb4d97cf 100644
--- a/integration/test/src/AmmoniteTests.scala
+++ b/integration/test/src/AmmoniteTests.scala
@@ -29,7 +29,7 @@ class AmmoniteTests(fork: Boolean)
)
}
- 'scala2124 - check("2.12.4")
+ test("scala2124") - check("2.12.4")
}
}
diff --git a/integration/test/src/BetterFilesTests.scala b/integration/test/src/BetterFilesTests.scala
index bdbaa2e3..4a816e96 100644
--- a/integration/test/src/BetterFilesTests.scala
+++ b/integration/test/src/BetterFilesTests.scala
@@ -6,7 +6,7 @@ class BetterFilesTests(fork: Boolean)
extends IntegrationTestSuite("MILL_BETTERFILES_REPO", "better-files", fork) {
val tests = Tests{
initWorkspace()
- 'test - {
+ test("test"){
assert(eval("core.test"))
assert(eval("akka.test"))
diff --git a/integration/test/src/CaffeineTests.scala b/integration/test/src/CaffeineTests.scala
index 56c66b21..363d6d21 100644
--- a/integration/test/src/CaffeineTests.scala
+++ b/integration/test/src/CaffeineTests.scala
@@ -5,7 +5,7 @@ import utest._
class CaffeineTests(fork: Boolean) extends IntegrationTestSuite("MILL_CAFFEINE_REPO", "caffeine", fork) {
val tests = Tests{
initWorkspace()
- 'test - {
+ test("test"){
// Caffeine only can build using Java 9 or up. Java 8 results in weird
// type inference issues during the compile
if (mill.main.client.Util.isJava9OrAbove){
diff --git a/integration/test/src/DocAnnotationsTests.scala b/integration/test/src/DocAnnotationsTests.scala
index 4d7ef11b..9fcf2f9f 100644
--- a/integration/test/src/DocAnnotationsTests.scala
+++ b/integration/test/src/DocAnnotationsTests.scala
@@ -8,7 +8,7 @@ class DocAnnotationsTests(fork: Boolean) extends ScriptTestSuite(fork) {
def scriptSourcePath: os.Path = os.pwd / 'integration / 'test / 'resources / workspaceSlug
val tests = Tests{
initWorkspace()
- 'test - {
+ test("test"){
assert(eval("inspect", "core.test.ivyDeps"))
val inheritedIvyDeps = ujson.read(meta("inspect"))("value").str
assert(
diff --git a/integration/test/src/JawnTests.scala b/integration/test/src/JawnTests.scala
index eafd1009..5ba02629 100644
--- a/integration/test/src/JawnTests.scala
+++ b/integration/test/src/JawnTests.scala
@@ -25,7 +25,7 @@ class JawnTests(fork: Boolean)
assert(!brokenCompile)
}
- 'scala21111 - check("2.11.11")
- 'scala2123 - check("2.12.3")
+ test("scala21111") - check("2.11.11")
+ test("scala2123") - check("2.12.3")
}
}
diff --git a/integration/test/src/LargeProjectTests.scala b/integration/test/src/LargeProjectTests.scala
index e20bc932..f1eb113d 100644
--- a/integration/test/src/LargeProjectTests.scala
+++ b/integration/test/src/LargeProjectTests.scala
@@ -10,7 +10,7 @@ class LargeProjectTests(fork: Boolean)
val tests = Tests{
initWorkspace()
- 'test - {
+ test("test"){
assert(eval("foo.common.one.compile"))
}
diff --git a/integration/test/src/PlayJsonTests.scala b/integration/test/src/PlayJsonTests.scala
index 262dcb36..857c0232 100644
--- a/integration/test/src/PlayJsonTests.scala
+++ b/integration/test/src/PlayJsonTests.scala
@@ -12,7 +12,7 @@ class PlayJsonTests(fork: Boolean) extends IntegrationTestSuite("MILL_PLAY_JSON_
val tests = Tests{
initWorkspace()
- 'jvm - {
+ test("jvm"){
assert(eval("playJsonJvm[2.12.4].test"))
val jvmMeta = meta("playJsonJvm[2.12.4].test.test")
@@ -26,7 +26,7 @@ class PlayJsonTests(fork: Boolean) extends IntegrationTestSuite("MILL_PLAY_JSON_
jvmMeta.contains("JSON reads should::validate Dates")
)
}
- 'js - {
+ test("js"){
assert(eval("playJsonJs[2.12.4].test"))
val jsMeta = meta("playJsonJs[2.12.4].test.test")
@@ -40,7 +40,7 @@ class PlayJsonTests(fork: Boolean) extends IntegrationTestSuite("MILL_PLAY_JSON_
jsMeta.contains("Complete JSON should create full object when lose precision when parsing BigDecimals")
)
}
- 'playJoda - {
+ test("playJoda"){
assert(eval("playJoda[2.12.4].test"))
val metaFile = meta("playJoda[2.12.4].test.test")
@@ -50,7 +50,7 @@ class PlayJsonTests(fork: Boolean) extends IntegrationTestSuite("MILL_PLAY_JSON_
)
}
- 'benchmarks - {
+ test("benchmarks"){
// "benchmarks[2.12.4].runJmh" -i 1 -wi 1 -f1 -t1
}
}
diff --git a/integration/test/src/UpickleTests.scala b/integration/test/src/UpickleTests.scala
index 133188d3..46c97223 100644
--- a/integration/test/src/UpickleTests.scala
+++ b/integration/test/src/UpickleTests.scala
@@ -5,7 +5,7 @@ import utest._
class UpickleTests(fork: Boolean) extends IntegrationTestSuite("MILL_UPICKLE_REPO", "upickle", fork) {
val tests = Tests{
initWorkspace()
- 'jvm21111 - {
+ test("jvm21111"){
mill.util.TestUtil.disableInJava9OrAbove({
assert(eval("upickleJvm[2.11.11].test"))
val jvmMeta = meta("upickleJvm[2.11.11].test.test")
@@ -13,13 +13,13 @@ class UpickleTests(fork: Boolean) extends IntegrationTestSuite("MILL_UPICKLE_REP
assert(jvmMeta.contains("upickle.MacroTests.commonCustomStructures.simpleAdt"))
})
}
- 'jvm2124 - {
+ test("jvm2124"){
assert(eval("upickleJvm[2.12.4].test"))
val jvmMeta = meta("upickleJvm[2.12.4].test.test")
assert(jvmMeta.contains("example.ExampleTests.simple"))
assert(jvmMeta.contains("upickle.MacroTests.commonCustomStructures.simpleAdt"))
}
- 'js - {
+ test("js"){
assert(eval("upickleJs[2.12.4].test"))
val jsMeta = meta("upickleJs[2.12.4].test.test")
assert(jsMeta .contains("example.ExampleTests.simple"))
diff --git a/main/test/src/define/ApplicativeTests.scala b/main/test/src/define/ApplicativeTests.scala
index 9dd2132f..0f30a404 100644
--- a/main/test/src/define/ApplicativeTests.scala
+++ b/main/test/src/define/ApplicativeTests.scala
@@ -33,34 +33,34 @@ object ApplicativeTests extends TestSuite {
val tests = Tests{
- 'selfContained - {
+ test("selfContained"){
- 'simple - assert(Opt("lol " + 1) == Some("lol 1"))
- 'singleSome - assert(Opt("lol " + Some("hello")()) == Some("lol hello"))
- 'twoSomes - assert(Opt(Some("lol ")() + Some("hello")()) == Some("lol hello"))
- 'singleNone - assert(Opt("lol " + None()) == None)
- 'twoNones - assert(Opt("lol " + None() + None()) == None)
+ test("simple") - assert(Opt("lol " + 1) == Some("lol 1"))
+ test("singleSome") - assert(Opt("lol " + Some("hello")()) == Some("lol hello"))
+ test("twoSomes") - assert(Opt(Some("lol ")() + Some("hello")()) == Some("lol hello"))
+ test("singleNone") - assert(Opt("lol " + None()) == None)
+ test("twoNones") - assert(Opt("lol " + None() + None()) == None)
}
- 'context - {
+ test("context"){
assert(Opt(Opt.ctx() + Some("World")()) == Some("hellooooWorld"))
}
- 'capturing - {
+ test("capturing"){
val lol = "lol "
def hell(o: String) = "hell" + o
- 'simple - assert(Opt(lol + 1) == Some("lol 1"))
- 'singleSome - assert(Opt(lol + Some(hell("o"))()) == Some("lol hello"))
- 'twoSomes - assert(Opt(Some(lol)() + Some(hell("o"))()) == Some("lol hello"))
- 'singleNone - assert(Opt(lol + None()) == None)
- 'twoNones - assert(Opt(lol + None() + None()) == None)
+ test("simple") - assert(Opt(lol + 1) == Some("lol 1"))
+ test("singleSome") - assert(Opt(lol + Some(hell("o"))()) == Some("lol hello"))
+ test("twoSomes") - assert(Opt(Some(lol)() + Some(hell("o"))()) == Some("lol hello"))
+ test("singleNone") - assert(Opt(lol + None()) == None)
+ test("twoNones") - assert(Opt(lol + None() + None()) == None)
}
- 'allowedLocalDef - {
+ test("allowedLocalDef"){
// Although x is defined inside the Opt{...} block, it is also defined
// within the LHS of the Applyable#apply call, so it is safe to life it
// out into the `zipMap` arguments list.
val res = Opt{ "lol " + Some("hello").flatMap(x => Some(x)).apply() }
assert(res == Some("lol hello"))
}
- 'upstreamAlwaysEvaluated - {
+ test("upstreamAlwaysEvaluated"){
// Whether or not control-flow reaches the Applyable#apply call inside an
// Opt{...} block, we always evaluate the LHS of the Applyable#apply
// because it gets lifted out of any control flow statements
@@ -72,7 +72,7 @@ object ApplicativeTests extends TestSuite {
counter.value == 1
)
}
- 'upstreamEvaluatedOnlyOnce - {
+ test("upstreamEvaluatedOnlyOnce"){
// Even if control-flow reaches the Applyable#apply call more than once,
// it only gets evaluated once due to its lifting out of the Opt{...} block
val counter = new Counter()
@@ -84,7 +84,7 @@ object ApplicativeTests extends TestSuite {
counter.value == 1
)
}
- 'evaluationsInsideLambdasWork - {
+ test("evaluationsInsideLambdasWork"){
// This required some fiddling with owner chains inside the macro to get
// working, so ensure it doesn't regress
val counter = new Counter()
@@ -96,7 +96,7 @@ object ApplicativeTests extends TestSuite {
down2 == Some(Seq("hello2", "hello2hello2", "hello2hello2hello2"))
)
}
- 'appliesEvaluatedOncePerLexicalCallsite - {
+ test("appliesEvaluatedOncePerLexicalCallsite"){
// If you have multiple Applyable#apply() lexically in the source code of
// your Opt{...} call, each one gets evaluated once, even if the LHS of each
// apply() call is identical. It's up to the downstream zipMap()
@@ -106,7 +106,7 @@ object ApplicativeTests extends TestSuite {
val down = Opt{ Seq(1, 2, 3).map(n => n + up() + up()) }
assert(down == Some(Seq("1hello1hello2", "2hello1hello2", "3hello1hello2")))
}
- 'appliesEvaluateBeforehand - {
+ test("appliesEvaluateBeforehand"){
// Every Applyable#apply() within a Opt{...} block evaluates before any
// other logic within that block, even if they would happen first in the
// normal Scala evaluation order
diff --git a/main/test/src/define/BasePathTests.scala b/main/test/src/define/BasePathTests.scala
index b8a653c8..e77c8d98 100644
--- a/main/test/src/define/BasePathTests.scala
+++ b/main/test/src/define/BasePathTests.scala
@@ -10,48 +10,48 @@ object BasePathTests extends TestSuite{
val remaining = f(m).millSourcePath.relativeTo(m.millSourcePath).segments
assert(remaining == segments)
}
- 'singleton - {
+ test("singleton"){
check(testGraphs.singleton)(identity)
}
- 'backtickIdentifiers - {
+ test("backtickIdentifiers"){
check(testGraphs.bactickIdentifiers)(
_.`nested-module`,
"nested-module"
)
}
- 'separateGroups - {
+ test("separateGroups"){
check(TestGraphs.triangleTask)(identity)
}
- 'TraitWithModuleObject - {
+ test("TraitWithModuleObject"){
check(TestGraphs.TraitWithModuleObject)(
_.TraitModule,
"TraitModule"
)
}
- 'nestedModuleNested - {
+ test("nestedModuleNested"){
check(TestGraphs.nestedModule)(_.nested, "nested")
}
- 'nestedModuleInstance - {
+ test("nestedModuleInstance"){
check(TestGraphs.nestedModule)(_.classInstance, "classInstance")
}
- 'singleCross - {
+ test("singleCross"){
check(TestGraphs.singleCross)(_.cross, "cross")
check(TestGraphs.singleCross)(_.cross("210"), "cross", "210")
check(TestGraphs.singleCross)(_.cross("211"), "cross", "211")
}
- 'doubleCross - {
+ test("doubleCross"){
check(TestGraphs.doubleCross)(_.cross, "cross")
check(TestGraphs.doubleCross)(_.cross("210", "jvm"), "cross", "210", "jvm")
check(TestGraphs.doubleCross)(_.cross("212", "js"), "cross", "212", "js")
}
- 'nestedCrosses - {
+ test("nestedCrosses"){
check(TestGraphs.nestedCrosses)(_.cross, "cross")
check(TestGraphs.nestedCrosses)(
_.cross("210").cross2("js"),
"cross", "210", "cross2", "js"
)
}
- 'overriden - {
+ test("overriden"){
object overridenBasePath extends TestUtil.BaseModule {
override def millSourcePath = os.pwd / 'overridenBasePathRootValue
object nested extends Module{
diff --git a/main/test/src/define/CacherTests.scala b/main/test/src/define/CacherTests.scala
index 1524e5c1..882a8164 100644
--- a/main/test/src/define/CacherTests.scala
+++ b/main/test/src/define/CacherTests.scala
@@ -32,35 +32,35 @@ object CacherTests extends TestSuite{
}
def check(x: Any, y: Any) = assert(x == y)
- 'simpleDefIsCached - {
+ test("simpleDefIsCached"){
Predef.assert(Base.value eq Base.value)
Predef.assert(eval(Base, Base.value) == 1)
}
- 'resultDefIsCached - {
+ test("resultDefIsCached"){
Predef.assert(Base.result eq Base.result)
Predef.assert(eval(Base, Base.result) == 1)
}
- 'overridingDefIsAlsoCached - {
+ test("overridingDefIsAlsoCached"){
Predef.assert(eval(Middle, Middle.value) == 3)
Predef.assert(Middle.value eq Middle.value)
}
- 'overridenDefRemainsAvailable - {
+ test("overridenDefRemainsAvailable"){
Predef.assert(eval(Middle, Middle.overriden) == 1)
}
- 'multipleOverridesWork- {
+ test("multipleOverridesWork"){
Predef.assert(eval(Terminal, Terminal.value) == 7)
Predef.assert(eval(Terminal, Terminal.overriden) == 1)
}
// Doesn't fail, presumably compileError doesn't go far enough in the
// compilation pipeline to hit the override checks
//
- // 'overrideOutsideModuleFails - {
+ // test("overrideOutsideModuleFails"){
// compileError("""
// trait Foo{
// def x = 1
diff --git a/main/test/src/define/DiscoverTests.scala b/main/test/src/define/DiscoverTests.scala
index 248d6afe..b0c923fd 100644
--- a/main/test/src/define/DiscoverTests.scala
+++ b/main/test/src/define/DiscoverTests.scala
@@ -11,29 +11,29 @@ object DiscoverTests extends TestSuite{
val expected = targets.map(_(m)).toSet
assert(discovered == expected)
}
- 'singleton - {
+ test("singleton"){
check(testGraphs.singleton)(_.single)
}
- 'backtickIdentifiers {
+ test("backtickIdentifiers"){
check(testGraphs.bactickIdentifiers)(_.`up-target`, _.`a-down-target`, _.`nested-module`.`nested-target`)
}
- 'separateGroups - {
+ test("separateGroups"){
check(TestGraphs.triangleTask)(_.left, _.right)
}
- 'TraitWithModuleObject - {
+ test("TraitWithModuleObject"){
check(TestGraphs.TraitWithModuleObject)(_.TraitModule.testFrameworks)
}
- 'nestedModule - {
+ test("nestedModule"){
check(TestGraphs.nestedModule)(_.single, _.nested.single, _.classInstance.single)
}
- 'singleCross - {
+ test("singleCross"){
check(TestGraphs.singleCross)(
_.cross("210").suffix,
_.cross("211").suffix,
_.cross("212").suffix
)
}
- 'doubleCross - {
+ test("doubleCross"){
check(TestGraphs.doubleCross)(
_.cross("210", "jvm").suffix,
_.cross("210", "js").suffix,
@@ -44,7 +44,7 @@ object DiscoverTests extends TestSuite{
_.cross("212", "native").suffix
)
}
- 'nestedCrosses - {
+ test("nestedCrosses"){
check(TestGraphs.nestedCrosses)(
_.cross("210").cross2("jvm").suffix,
_.cross("210").cross2("js").suffix,
diff --git a/main/test/src/define/GraphTests.scala b/main/test/src/define/GraphTests.scala
index b36dbf95..17c9dcf2 100644
--- a/main/test/src/define/GraphTests.scala
+++ b/main/test/src/define/GraphTests.scala
@@ -14,34 +14,34 @@ object GraphTests extends TestSuite{
import graphs._
import TestGraphs._
- 'topoSortedTransitiveTargets - {
+ test("topoSortedTransitiveTargets"){
def check(targets: Agg[Task[_]], expected: Agg[Task[_]]) = {
val result = Graph.topoSorted(Graph.transitiveTargets(targets)).values
TestUtil.checkTopological(result)
assert(result == expected)
}
- 'singleton - check(
+ test("singleton") - check(
targets = Agg(singleton.single),
expected = Agg(singleton.single)
)
- 'backtickIdentifiers - check(
+ test("backtickIdentifiers") - check(
targets = Agg(bactickIdentifiers.`a-down-target`),
expected = Agg(bactickIdentifiers.`up-target`, bactickIdentifiers.`a-down-target`)
)
- 'pair - check(
+ test("pair") - check(
targets = Agg(pair.down),
expected = Agg(pair.up, pair.down)
)
- 'anonTriple - check(
+ test("anonTriple") - check(
targets = Agg(anonTriple.down),
expected = Agg(anonTriple.up, anonTriple.down.inputs(0), anonTriple.down)
)
- 'diamond - check(
+ test("diamond") - check(
targets = Agg(diamond.down),
expected = Agg(diamond.up, diamond.left, diamond.right, diamond.down)
)
- 'anonDiamond - check(
+ test("anonDiamond") - check(
targets = Agg(diamond.down),
expected = Agg(
diamond.up,
@@ -50,7 +50,7 @@ object GraphTests extends TestSuite{
diamond.down
)
)
- 'defCachedDiamond - check(
+ test("defCachedDiamond") - check(
targets = Agg(defCachedDiamond.down),
expected = Agg(
defCachedDiamond.up.inputs(0),
@@ -63,14 +63,14 @@ object GraphTests extends TestSuite{
defCachedDiamond.down
)
)
- 'bigSingleTerminal - {
+ test("bigSingleTerminal"){
val result = Graph.topoSorted(Graph.transitiveTargets(Agg(bigSingleTerminal.j))).values
TestUtil.checkTopological(result)
assert(result.size == 28)
}
}
- 'groupAroundNamedTargets - {
+ test("groupAroundNamedTargets"){
def check[T, R <: Target[Int]](base: T)
(target: T => R,
important0: Agg[T => Target[_]],
@@ -94,12 +94,12 @@ object GraphTests extends TestSuite{
}
}
- 'singleton - check(singleton)(
+ test("singleton") - check(singleton)(
_.single,
Agg(_.single),
Agg(singleton.single -> 1)
)
- 'backtickIdentifiers - check(bactickIdentifiers)(
+ test("backtickIdentifiers") - check(bactickIdentifiers)(
_.`a-down-target`,
Agg(_.`up-target`, _.`a-down-target`),
Agg(
@@ -107,17 +107,17 @@ object GraphTests extends TestSuite{
bactickIdentifiers.`a-down-target` -> 1
)
)
- 'pair - check(pair)(
+ test("pair") - check(pair)(
_.down,
Agg(_.up, _.down),
Agg(pair.up -> 1, pair.down -> 1)
)
- 'anonTriple - check(anonTriple)(
+ test("anonTriple") - check(anonTriple)(
_.down,
Agg(_.up, _.down),
Agg(anonTriple.up -> 1, anonTriple.down -> 2)
)
- 'diamond - check(diamond)(
+ test("diamond") - check(diamond)(
_.down,
Agg(_.up, _.left, _.right, _.down),
Agg(
@@ -128,7 +128,7 @@ object GraphTests extends TestSuite{
)
)
- 'defCachedDiamond - check(defCachedDiamond)(
+ test("defCachedDiamond") - check(defCachedDiamond)(
_.down,
Agg(_.up, _.left, _.right, _.down),
Agg(
@@ -139,7 +139,7 @@ object GraphTests extends TestSuite{
)
)
- 'anonDiamond - check(anonDiamond)(
+ test("anonDiamond") - check(anonDiamond)(
_.down,
Agg(_.down, _.up),
Agg(
@@ -147,7 +147,7 @@ object GraphTests extends TestSuite{
anonDiamond.down -> 3
)
)
- 'bigSingleTerminal - check(bigSingleTerminal)(
+ test("bigSingleTerminal") - check(bigSingleTerminal)(
_.j,
Agg(_.a, _.b, _.e, _.f, _.i, _.j),
Agg(
@@ -160,7 +160,7 @@ object GraphTests extends TestSuite{
)
)
}
- 'multiTerminalGroupCounts - {
+ test("multiTerminalGroupCounts"){
def countGroups(goals: Task[_]*) = {
val topoSorted = Graph.topoSorted(
@@ -173,13 +173,13 @@ object GraphTests extends TestSuite{
grouped.keyCount
}
- 'separateGroups - {
+ test("separateGroups"){
import separateGroups._
val groupCount = countGroups(right, left)
assert(groupCount == 3)
}
- 'triangleTask - {
+ test("triangleTask"){
// Make sure the following graph ends up as a single group, since although
// `right` depends on `left`, both of them depend on the un-cached `task`
// which would force them both to re-compute every time `task` changes
@@ -189,7 +189,7 @@ object GraphTests extends TestSuite{
}
- 'multiTerminalGroup - {
+ test("multiTerminalGroup"){
// Make sure the following graph ends up as two groups
import multiTerminalGroup._
val groupCount = countGroups(right, left)
@@ -197,7 +197,7 @@ object GraphTests extends TestSuite{
}
- 'multiTerminalBoundary - {
+ test("multiTerminalBoundary"){
// Make sure the following graph ends up as a three groups: one for
// each cached target, and one for the downstream task we are running
import multiTerminalBoundary._
diff --git a/main/test/src/define/MacroErrorTests.scala b/main/test/src/define/MacroErrorTests.scala
index c8b140fa..a52f4ad6 100644
--- a/main/test/src/define/MacroErrorTests.scala
+++ b/main/test/src/define/MacroErrorTests.scala
@@ -7,7 +7,7 @@ object MacroErrorTests extends TestSuite{
val tests = Tests{
- 'errors{
+ test("errors"){
val expectedMsg =
"T{} members must be defs defined in a Cacher class/trait/object body"
@@ -15,8 +15,8 @@ object MacroErrorTests extends TestSuite{
assert(err.msg == expectedMsg)
}
- 'badParameterSets - {
- 'command - {
+ test("badParameterSets"){
+ test("command"){
val e = compileError("""
object foo extends mill.util.TestUtil.BaseModule{
def w = T.command{1}
@@ -28,7 +28,7 @@ object MacroErrorTests extends TestSuite{
e.pos.contains("def w = ")
)
}
- 'target - {
+ test("target"){
val e = compileError("""
object foo extends mill.util.TestUtil.BaseModule{
def x() = T{1}
@@ -40,7 +40,7 @@ object MacroErrorTests extends TestSuite{
e.pos.contains("def x() = ")
)
}
- 'input - {
+ test("input"){
val e = compileError("""
object foo extends mill.util.TestUtil.BaseModule{
def y() = T.input{1}
@@ -52,7 +52,7 @@ object MacroErrorTests extends TestSuite{
e.pos.contains("def y() = ")
)
}
- 'sources - {
+ test("sources"){
val e = compileError("""
object foo extends mill.util.TestUtil.BaseModule{
def z() = T.sources{ammonite.ops.pwd}
@@ -64,7 +64,7 @@ object MacroErrorTests extends TestSuite{
e.pos.contains("def z() = ")
)
}
- 'persistent - {
+ test("persistent"){
val e = compileError("""
object foo extends mill.util.TestUtil.BaseModule{
def a() = T.persistent{1}
@@ -77,11 +77,11 @@ object MacroErrorTests extends TestSuite{
)
}
}
- 'badTmacro - {
+ test("badTmacro"){
// Make sure we can reference values from outside the T{...} block as part
// of our `Target#apply()` calls, but we cannot reference any values that
// come from inside the T{...} block
- 'pos - {
+ test("pos"){
val e = compileError("""
val a = T{ 1 }
val arr = Array(a)
@@ -96,7 +96,7 @@ object MacroErrorTests extends TestSuite{
"Modules, Targets and Commands can only be defined within a mill Module")
)
}
- 'neg - {
+ test("neg"){
val expectedMsg =
"Target#apply() call cannot use `value n` defined within the T{...} block"
@@ -112,7 +112,7 @@ object MacroErrorTests extends TestSuite{
}""")
assert(err.msg == expectedMsg)
}
- 'neg2 - {
+ test("neg2"){
val expectedMsg =
"Target#apply() call cannot use `value x` defined within the T{...} block"
@@ -127,7 +127,7 @@ object MacroErrorTests extends TestSuite{
}""")
assert(err.msg == expectedMsg)
}
- 'neg3{
+ test("neg3"){
val borkedCachedDiamond1 = utest.compileError("""
object borkedCachedDiamond1 {
def up = T{ TestUtil.test() }
diff --git a/main/test/src/eval/CrossTests.scala b/main/test/src/eval/CrossTests.scala
index f194924e..d3dd956c 100644
--- a/main/test/src/eval/CrossTests.scala
+++ b/main/test/src/eval/CrossTests.scala
@@ -8,7 +8,7 @@ import mill.util.TestGraphs.{crossResolved, doubleCross, nestedCrosses, singleCr
import utest._
object CrossTests extends TestSuite{
val tests = Tests{
- 'singleCross - {
+ test("singleCross"){
val check = new TestEvaluator(singleCross)
val Right(("210", 1)) = check.apply(singleCross.cross("210").suffix)
@@ -16,7 +16,7 @@ object CrossTests extends TestSuite{
val Right(("212", 1)) = check.apply(singleCross.cross("212").suffix)
}
- 'crossResolved - {
+ test("crossResolved"){
val check = new TestEvaluator(crossResolved)
val Right(("2.10", 1)) = check.apply(crossResolved.foo("2.10").suffix)
@@ -29,7 +29,7 @@ object CrossTests extends TestSuite{
}
- 'doubleCross - {
+ test("doubleCross"){
val check = new TestEvaluator(doubleCross)
val Right(("210_jvm", 1)) = check.apply(doubleCross.cross("210", "jvm").suffix)
@@ -41,7 +41,7 @@ object CrossTests extends TestSuite{
val Right(("212_native", 1)) = check.apply(doubleCross.cross("212", "native").suffix)
}
- 'nestedCrosses - {
+ test("nestedCrosses"){
val check = new TestEvaluator(nestedCrosses)
val Right(("210_jvm", 1)) = check.apply(nestedCrosses.cross("210").cross2("jvm").suffix)
diff --git a/main/test/src/eval/EvaluationTests.scala b/main/test/src/eval/EvaluationTests.scala
index 7f924db2..abf394d5 100644
--- a/main/test/src/eval/EvaluationTests.scala
+++ b/main/test/src/eval/EvaluationTests.scala
@@ -1,7 +1,7 @@
package mill.eval
-import mill.util.TestUtil.{Test, test}
+import mill.util.TestUtil.Test
import mill.define.{Discover, Graph, Target, Task}
import mill.{Module, T}
import mill.util.{DummyLogger, TestEvaluator, TestGraphs, TestUtil}
@@ -55,9 +55,9 @@ object EvaluationTests extends TestSuite{
object graphs extends TestGraphs()
import graphs._
import TestGraphs._
- 'evaluateSingle - {
+ test("evaluateSingle"){
- 'singleton - {
+ test("singleton"){
import singleton._
val check = new Checker(singleton)
// First time the target is evaluated
@@ -67,7 +67,7 @@ object EvaluationTests extends TestSuite{
// After incrementing the counter, it forces re-evaluation
check(single, expValue = 1, expEvaled = Agg(single))
}
- 'backtickIdentifiers - {
+ test("backtickIdentifiers"){
import graphs.bactickIdentifiers._
val check = new Checker(bactickIdentifiers)
@@ -79,7 +79,7 @@ object EvaluationTests extends TestSuite{
`up-target`.counter += 1
check(`a-down-target`, expValue = 2, expEvaled = Agg(`up-target`, `a-down-target`))
}
- 'pair - {
+ test("pair"){
import pair._
val check = new Checker(pair)
check(down, expValue = 0, expEvaled = Agg(up, down))
@@ -90,7 +90,7 @@ object EvaluationTests extends TestSuite{
up.counter += 1
check(down, expValue = 2, expEvaled = Agg(up, down))
}
- 'anonTriple - {
+ test("anonTriple"){
import anonTriple._
val check = new Checker(anonTriple)
val middle = down.inputs(0)
@@ -106,7 +106,7 @@ object EvaluationTests extends TestSuite{
check(down, expValue = 3, expEvaled = Agg(middle, down))
}
- 'diamond - {
+ test("diamond"){
import diamond._
val check = new Checker(diamond)
check(down, expValue = 0, expEvaled = Agg(up, left, right, down))
@@ -124,7 +124,7 @@ object EvaluationTests extends TestSuite{
right.counter += 1
check(down, expValue = 5, expEvaled = Agg(right, down))
}
- 'anonDiamond - {
+ test("anonDiamond"){
import anonDiamond._
val check = new Checker(anonDiamond)
val left = down.inputs(0).asInstanceOf[TestUtil.Test]
@@ -145,7 +145,7 @@ object EvaluationTests extends TestSuite{
check(down, expValue = 5, expEvaled = Agg(left, right, down))
}
- 'bigSingleTerminal - {
+ test("bigSingleTerminal"){
import bigSingleTerminal._
val check = new Checker(bigSingleTerminal)
@@ -164,8 +164,8 @@ object EvaluationTests extends TestSuite{
}
}
- 'evaluateMixed - {
- 'separateGroups - {
+ test("evaluateMixed"){
+ test("separateGroups"){
// Make sure that `left` and `right` are able to recompute separately,
// even though one depends on the other
@@ -184,7 +184,7 @@ object EvaluationTests extends TestSuite{
}
- 'triangleTask - {
+ test("triangleTask"){
import triangleTask._
val checker = new Checker(triangleTask)
@@ -192,7 +192,7 @@ object EvaluationTests extends TestSuite{
checker(left, 1, Agg(), extraEvaled = -1)
}
- 'multiTerminalGroup - {
+ test("multiTerminalGroup"){
import multiTerminalGroup._
val checker = new Checker(multiTerminalGroup)
@@ -200,7 +200,7 @@ object EvaluationTests extends TestSuite{
checker(left, 1, Agg(left), extraEvaled = -1)
}
- 'multiTerminalBoundary - {
+ test("multiTerminalBoundary"){
import multiTerminalBoundary._
@@ -209,7 +209,7 @@ object EvaluationTests extends TestSuite{
checker(task2, 4, Agg(), extraEvaled = -1, secondRunNoOp = false)
}
- 'overrideSuperTask - {
+ test("overrideSuperTask"){
// Make sure you can override targets, call their supers, and have the
// overriden target be allocated a spot within the overriden/ folder of
// the main publically-available target
@@ -231,7 +231,7 @@ object EvaluationTests extends TestSuite{
!overriden.contains("object")
)
}
- 'overrideSuperCommand - {
+ test("overrideSuperCommand"){
// Make sure you can override commands, call their supers, and have the
// overriden command be allocated a spot within the overriden/ folder of
// the main publically-available command
@@ -259,7 +259,7 @@ object EvaluationTests extends TestSuite{
!overriden.contains("object1")
)
}
- 'nullTasks - {
+ test("nullTasks"){
import nullTasks._
val checker = new Checker(nullTasks)
checker(nullTarget1, null, Agg(nullTarget1), extraEvaled = -1)
@@ -286,7 +286,7 @@ object EvaluationTests extends TestSuite{
checker(nc4, null, Agg(nc4), extraEvaled = -1, secondRunNoOp = false)
}
- 'tasksAreUncached - {
+ test("tasksAreUncached"){
// Make sure the tasks `left` and `middle` re-compute every time, while
// the target `right` does not
//
@@ -299,7 +299,7 @@ object EvaluationTests extends TestSuite{
var leftCount = 0
var rightCount = 0
var middleCount = 0
- def up = T{ test.anon() }
+ def up = T{ TestUtil.test.anon() }
def left = T.task{ leftCount += 1; up() + 1 }
def middle = T.task{ middleCount += 1; 100 }
def right = T{ rightCount += 1; 10000 }
diff --git a/main/test/src/eval/FailureTests.scala b/main/test/src/eval/FailureTests.scala
index d1b3c750..eb1f51ef 100644
--- a/main/test/src/eval/FailureTests.scala
+++ b/main/test/src/eval/FailureTests.scala
@@ -12,7 +12,7 @@ object FailureTests extends TestSuite{
val graphs = new mill.util.TestGraphs()
import graphs._
- 'evaluateSingle - {
+ test("evaluateSingle"){
val check = new TestEvaluator(singleton)
check.fail(
target = singleton.single,
@@ -47,7 +47,7 @@ object FailureTests extends TestSuite{
expectedRawValues = Seq(Result.Exception(ex, new OuterStack(Nil)))
)
}
- 'evaluatePair - {
+ test("evaluatePair"){
val check = new TestEvaluator(pair)
check.fail(
pair.down,
@@ -113,7 +113,7 @@ object FailureTests extends TestSuite{
)
}
- 'evaluateBacktickIdentifiers - {
+ test("evaluateBacktickIdentifiers"){
val check = new TestEvaluator(bactickIdentifiers)
import bactickIdentifiers._
check.fail(
@@ -181,7 +181,7 @@ object FailureTests extends TestSuite{
)
}
- 'multipleUsesOfDest - {
+ test("multipleUsesOfDest"){
object build extends TestUtil.BaseModule {
// Using `T.ctx( ).dest` twice in a single task is ok
def left = T{ + T.ctx().dest.toString.length + T.ctx().dest.toString.length }
diff --git a/main/test/src/eval/JavaCompileJarTests.scala b/main/test/src/eval/JavaCompileJarTests.scala
index 0f9002df..df6e3df5 100644
--- a/main/test/src/eval/JavaCompileJarTests.scala
+++ b/main/test/src/eval/JavaCompileJarTests.scala
@@ -18,7 +18,7 @@ object JavaCompileJarTests extends TestSuite{
}
val tests = Tests{
- 'javac {
+ test("javac"){
val javacSrcPath = os.pwd / 'main / 'test / 'resources / 'examples / 'javac
val javacDestPath = TestUtil.getOutPath() / 'src
diff --git a/main/test/src/eval/ModuleTests.scala b/main/test/src/eval/ModuleTests.scala
index f28fc9b6..2decd6a7 100644
--- a/main/test/src/eval/ModuleTests.scala
+++ b/main/test/src/eval/ModuleTests.scala
@@ -20,7 +20,7 @@ object ModuleTests extends TestSuite{
}
val tests = Tests {
os.remove.all(TestEvaluator.externalOutPath)
- 'externalModuleTargetsAreNamespacedByModulePackagePath - {
+ test("externalModuleTargetsAreNamespacedByModulePackagePath"){
val check = new TestEvaluator(Build)
val zresult = check.apply(Build.z)
assert(
@@ -30,7 +30,7 @@ object ModuleTests extends TestSuite{
os.read(TestEvaluator.externalOutPath / 'mill / 'eval / 'ModuleTests / 'ExternalModule / 'inner / 'y / "meta.json").contains("17")
)
}
- 'externalModuleMustBeGlobalStatic - {
+ test("externalModuleMustBeGlobalStatic"){
object Build extends mill.define.ExternalModule {
diff --git a/main/test/src/eval/TarjanTests.scala b/main/test/src/eval/TarjanTests.scala
index 2f9d0a4d..f430d013 100644
--- a/main/test/src/eval/TarjanTests.scala
+++ b/main/test/src/eval/TarjanTests.scala
@@ -10,40 +10,40 @@ object TarjanTests extends TestSuite{
}
val tests = Tests{
//
- 'empty - check(Seq(), Seq())
+ test("empty") - check(Seq(), Seq())
// (0)
- 'singleton - check(Seq(Seq()), Seq(Seq(0)))
+ test("singleton") - check(Seq(Seq()), Seq(Seq(0)))
// (0)-.
// ^._/
- 'selfCycle - check(Seq(Seq(0)), Seq(Seq(0)))
+ test("selfCycle") - check(Seq(Seq(0)), Seq(Seq(0)))
// (0) <-> (1)
- 'simpleCycle- check(Seq(Seq(1), Seq(0)), Seq(Seq(1, 0)))
+ test("simpleCycle") - check(Seq(Seq(1), Seq(0)), Seq(Seq(1, 0)))
// (0) (1) (2)
- 'multipleSingletons - check(
+ test("multipleSingletons") - check(
Seq(Seq(), Seq(), Seq()),
Seq(Seq(0), Seq(1), Seq(2))
)
// (0) -> (1) -> (2)
- 'straightLineNoCycles- check(
+ test("straightLineNoCycles") - check(
Seq(Seq(1), Seq(2), Seq()),
Seq(Seq(2), Seq(1), Seq(0))
)
// (0) <- (1) <- (2)
- 'straightLineNoCyclesReversed- check(
+ test("straightLineNoCyclesReversed") - check(
Seq(Seq(), Seq(0), Seq(1)),
Seq(Seq(0), Seq(1), Seq(2))
)
// (0) <-> (1) (2) -> (3) -> (4)
// ^.____________/
- 'independentSimpleCycles - check(
+ test("independentSimpleCycles") - check(
Seq(Seq(1), Seq(0), Seq(3), Seq(4), Seq(2)),
Seq(Seq(1, 0), Seq(4, 3, 2))
)
@@ -52,7 +52,7 @@ object TarjanTests extends TestSuite{
// v \
// (0) <-> (1) (2) -> (3) -> (4)
// ^.____________/
- 'independentLinkedCycles - check(
+ test("independentLinkedCycles") - check(
Seq(Seq(1), Seq(0), Seq(3), Seq(4), Seq(2, 1)),
Seq(Seq(1, 0), Seq(4, 3, 2))
)
@@ -60,7 +60,7 @@ object TarjanTests extends TestSuite{
// / v
// (0) <-> (1) (2) -> (3) -> (4)
// ^.____________/
- 'independentLinkedCycles2 - check(
+ test("independentLinkedCycles2") - check(
Seq(Seq(1, 2), Seq(0), Seq(3), Seq(4), Seq(2)),
Seq(Seq(4, 3, 2), Seq(1, 0))
)
@@ -70,7 +70,7 @@ object TarjanTests extends TestSuite{
// (0) <-> (1) (2) -> (3) -> (4)
// ^. ^.____________/
// \________________/
- 'combinedCycles - check(
+ test("combinedCycles") - check(
Seq(Seq(1, 2), Seq(0), Seq(3), Seq(4), Seq(2, 1)),
Seq(Seq(4, 3, 2, 1, 0))
)
@@ -82,7 +82,7 @@ object TarjanTests extends TestSuite{
// / /
// v /
// (9) <--------'
- 'combinedCycles - check(
+ test("combinedCycles") - check(
Seq(Seq(1), Seq(0), Seq(0, 1), Seq(2, 4, 7, 9), Seq(3), Seq(4, 8), Seq(9), Seq(6), Seq(), Seq()),
Seq(Seq(0, 1), Seq(2), Seq(9), Seq(6), Seq(7), Seq(3, 4), Seq(8), Seq(5))
)
diff --git a/main/test/src/eval/TaskTests.scala b/main/test/src/eval/TaskTests.scala
index 0bfd8efc..8449a62f 100644
--- a/main/test/src/eval/TaskTests.scala
+++ b/main/test/src/eval/TaskTests.scala
@@ -53,7 +53,7 @@ object TaskTests extends TestSuite{
}
}
- 'inputs - {
+ test("inputs"){
// Inputs always re-evaluate, including forcing downstream cached Targets
// to re-evaluate, but normal Tasks behind a Target run once then are cached
val check = new TestEvaluator(build)
@@ -67,7 +67,7 @@ object TaskTests extends TestSuite{
val Right((4, 0)) = check.apply(build.taskNoInput)
}
- 'persistent - {
+ test("persistent"){
// Persistent tasks keep the working dir around between runs
val check = new TestEvaluator(build)
val Right((1, 1)) = check.apply(build.persistent)
@@ -79,7 +79,7 @@ object TaskTests extends TestSuite{
val Right((1, 1)) = check.apply(build.nonPersistent)
}
- 'worker - {
+ test("worker"){
// Persistent task
def check = new TestEvaluator(build)
diff --git a/main/test/src/main/ClientServerTests.scala b/main/test/src/main/ClientServerTests.scala
index 6d918b30..dbc61060 100644
--- a/main/test/src/main/ClientServerTests.scala
+++ b/main/test/src/main/ClientServerTests.scala
@@ -80,7 +80,7 @@ object ClientServerTests extends TestSuite{
}
def tests = Tests{
- 'hello - {
+ test("hello"){
if (!Util.isWindows){
val (tmpDir, locks) = init()
def runClient(s: String) = runClientAux(tmpDir, locks)(Map.empty, Array(s))
@@ -135,7 +135,7 @@ object ClientServerTests extends TestSuite{
)
}
- 'envVars - {
+ test("envVars"){
if (!Util.isWindows){
val (tmpDir, locks) = init()
diff --git a/main/test/src/main/ForeignBuildsTest.scala b/main/test/src/main/ForeignBuildsTest.scala
index cfc8d00c..461a34f0 100644
--- a/main/test/src/main/ForeignBuildsTest.scala
+++ b/main/test/src/main/ForeignBuildsTest.scala
@@ -11,7 +11,7 @@ object ForeignBuildsTest extends ScriptTestSuite(fork = false) {
val tests = Tests {
initWorkspace()
- 'test - {
+ test("test"){
// See https://github.com/lihaoyi/mill/issues/302
if (!ammonite.util.Util.java9OrAbove) {
assert(
diff --git a/main/test/src/main/ForeignConflictTest.scala b/main/test/src/main/ForeignConflictTest.scala
index a4352bb6..f18859fd 100644
--- a/main/test/src/main/ForeignConflictTest.scala
+++ b/main/test/src/main/ForeignConflictTest.scala
@@ -12,7 +12,7 @@ object ForeignConflictTest extends ScriptTestSuite(fork = false) {
val tests = Tests {
initWorkspace()
- 'test - {
+ test("test"){
// see https://github.com/lihaoyi/mill/issues/302
if (!ammonite.util.Util.java9OrAbove) {
assert(
diff --git a/main/test/src/main/JavaCompileJarTests.scala b/main/test/src/main/JavaCompileJarTests.scala
index 37c64b05..b069ad6c 100644
--- a/main/test/src/main/JavaCompileJarTests.scala
+++ b/main/test/src/main/JavaCompileJarTests.scala
@@ -8,7 +8,7 @@ object JavaCompileJarTests extends ScriptTestSuite(fork = false) {
def scriptSourcePath = os.pwd / 'main / 'test / 'resources / 'examples / 'javac
val tests = Tests{
initWorkspace()
- 'test - {
+ test("test"){
if (!ammonite.util.Util.java9OrAbove) {
// Basic target evaluation works
assert(eval("classFiles"))
diff --git a/main/test/src/main/MainTests.scala b/main/test/src/main/MainTests.scala
index e836099c..b481adaa 100644
--- a/main/test/src/main/MainTests.scala
+++ b/main/test/src/main/MainTests.scala
@@ -23,76 +23,76 @@ object MainTests extends TestSuite{
val tests = Tests{
val graphs = new mill.util.TestGraphs()
import graphs._
- 'single - {
+ test("single"){
val check = MainTests.check(singleton) _
- 'pos - check("single", Right(Seq(_.single)))
- 'neg1 - check("sngle", Left("Cannot resolve sngle. Did you mean single?"))
- 'neg2 - check("snigle", Left("Cannot resolve snigle. Did you mean single?"))
- 'neg3 - check("nsiigle", Left("Cannot resolve nsiigle. Did you mean single?"))
- 'neg4 - check("ansiigle", Left("Cannot resolve ansiigle. Try `mill resolve _` to see what's available."))
- 'neg5 - check("doesntExist", Left("Cannot resolve doesntExist. Try `mill resolve _` to see what's available."))
- 'neg6 - check("single.doesntExist", Left("Task single is not a module and has no children."))
- 'neg7 - check("", Left("Selector cannot be empty"))
+ test("pos") - check("single", Right(Seq(_.single)))
+ test("neg1") - check("sngle", Left("Cannot resolve sngle. Did you mean single?"))
+ test("neg2") - check("snigle", Left("Cannot resolve snigle. Did you mean single?"))
+ test("neg3") - check("nsiigle", Left("Cannot resolve nsiigle. Did you mean single?"))
+ test("neg4") - check("ansiigle", Left("Cannot resolve ansiigle. Try `mill resolve _` to see what's available."))
+ test("neg5") - check("doesntExist", Left("Cannot resolve doesntExist. Try `mill resolve _` to see what's available."))
+ test("neg6") - check("single.doesntExist", Left("Task single is not a module and has no children."))
+ test("neg7") - check("", Left("Selector cannot be empty"))
}
- 'backtickIdentifiers - {
+ test("backtickIdentifiers"){
val check = MainTests.check(bactickIdentifiers) _
- 'pos1 - check("up-target", Right(Seq(_.`up-target`)))
- 'pos2 - check("a-down-target", Right(Seq(_.`a-down-target`)))
- 'neg1 - check("uptarget", Left("Cannot resolve uptarget. Did you mean up-target?"))
- 'neg2 - check("upt-arget", Left("Cannot resolve upt-arget. Did you mean up-target?"))
- 'neg3 - check("up-target.doesntExist", Left("Task up-target is not a module and has no children."))
- 'neg4 - check("", Left("Selector cannot be empty"))
- 'neg5 - check("invisible&", Left("Cannot resolve invisible. Try `mill resolve _` to see what's available."))
- 'nested - {
- 'pos - check("nested-module.nested-target", Right(Seq(_.`nested-module`.`nested-target`)))
- 'neg - check("nested-module.doesntExist", Left("Cannot resolve nested-module.doesntExist. Try `mill resolve nested-module._` to see what's available."))
+ test("pos1") - check("up-target", Right(Seq(_.`up-target`)))
+ test("pos2") - check("a-down-target", Right(Seq(_.`a-down-target`)))
+ test("neg1") - check("uptarget", Left("Cannot resolve uptarget. Did you mean up-target?"))
+ test("neg2") - check("upt-arget", Left("Cannot resolve upt-arget. Did you mean up-target?"))
+ test("neg3") - check("up-target.doesntExist", Left("Task up-target is not a module and has no children."))
+ test("neg4") - check("", Left("Selector cannot be empty"))
+ test("neg5") - check("invisible&", Left("Cannot resolve invisible. Try `mill resolve _` to see what's available."))
+ test("nested"){
+ test("pos") - check("nested-module.nested-target", Right(Seq(_.`nested-module`.`nested-target`)))
+ test("neg") - check("nested-module.doesntExist", Left("Cannot resolve nested-module.doesntExist. Try `mill resolve nested-module._` to see what's available."))
}
}
- 'nested - {
+ test("nested"){
val check = MainTests.check(nestedModule) _
- 'pos1 - check("single", Right(Seq(_.single)))
- 'pos2 - check("nested.single", Right(Seq(_.nested.single)))
- 'pos3 - check("classInstance.single", Right(Seq(_.classInstance.single)))
- 'neg1 - check(
+ test("pos1") - check("single", Right(Seq(_.single)))
+ test("pos2") - check("nested.single", Right(Seq(_.nested.single)))
+ test("pos3") - check("classInstance.single", Right(Seq(_.classInstance.single)))
+ test("neg1") - check(
"doesntExist",
Left("Cannot resolve doesntExist. Try `mill resolve _` to see what's available.")
)
- 'neg2 - check(
+ test("neg2") - check(
"single.doesntExist",
Left("Task single is not a module and has no children.")
)
- 'neg3 - check(
+ test("neg3") - check(
"nested.doesntExist",
Left("Cannot resolve nested.doesntExist. Try `mill resolve nested._` to see what's available.")
)
- 'neg3 - check(
+ test("neg3") - check(
"nested.singel",
Left("Cannot resolve nested.singel. Did you mean nested.single?")
)
- 'neg4 - check(
+ test("neg4") - check(
"classInstance.doesntExist",
Left("Cannot resolve classInstance.doesntExist. Try `mill resolve classInstance._` to see what's available.")
)
- 'wildcard - check(
+ test("wildcard") - check(
"_.single",
Right(Seq(
_.classInstance.single,
_.nested.single
))
)
- 'wildcardNeg - check(
+ test("wildcardNeg") - check(
"_._.single",
Left("Cannot resolve _._.single. Try `mill resolve _` to see what's available")
)
- 'wildcardNeg2 - check(
+ test("wildcardNeg2") - check(
"_._.__",
Left("Cannot resolve _._.__. Try `mill resolve _` to see what's available")
)
- 'wildcardNeg3 - check(
+ test("wildcardNeg3") - check(
"nested._.foobar",
Left("Cannot resolve nested._.foobar. Try `mill resolve nested._` to see what's available")
)
- 'wildcard2 - check(
+ test("wildcard2") - check(
"__.single",
Right(Seq(
_.single,
@@ -101,7 +101,7 @@ object MainTests extends TestSuite{
))
)
- 'wildcard3 - check(
+ test("wildcard3") - check(
"_.__.single",
Right(Seq(
_.classInstance.single,
@@ -110,28 +110,28 @@ object MainTests extends TestSuite{
)
}
- 'cross - {
- 'single - {
+ test("cross"){
+ test("single"){
val check = MainTests.check(singleCross) _
- 'pos1 - check("cross[210].suffix", Right(Seq(_.cross("210").suffix)))
- 'pos2 - check("cross[211].suffix", Right(Seq(_.cross("211").suffix)))
- 'neg1 - check(
+ test("pos1") - check("cross[210].suffix", Right(Seq(_.cross("210").suffix)))
+ test("pos2") - check("cross[211].suffix", Right(Seq(_.cross("211").suffix)))
+ test("neg1") - check(
"cross[210].doesntExist",
Left("Cannot resolve cross[210].doesntExist. Try `mill resolve cross[210]._` to see what's available.")
)
- 'neg2 - check(
+ test("neg2") - check(
"cross[doesntExist].doesntExist",
Left("Cannot resolve cross[doesntExist]. Try `mill resolve cross[__]` to see what's available.")
)
- 'neg3 - check(
+ test("neg3") - check(
"cross[221].doesntExist",
Left("Cannot resolve cross[221]. Did you mean cross[211]?")
)
- 'neg4 - check(
+ test("neg4") - check(
"cross[doesntExist].suffix",
Left("Cannot resolve cross[doesntExist]. Try `mill resolve cross[__]` to see what's available.")
)
- 'wildcard - check(
+ test("wildcard") - check(
"cross[_].suffix",
Right(Seq(
_.cross("210").suffix,
@@ -139,7 +139,7 @@ object MainTests extends TestSuite{
_.cross("212").suffix
))
)
- 'wildcard2 - check(
+ test("wildcard2") - check(
"cross[__].suffix",
Right(Seq(
_.cross("210").suffix,
@@ -148,22 +148,22 @@ object MainTests extends TestSuite{
))
)
}
- 'double - {
+ test("double"){
val check = MainTests.check(doubleCross) _
- 'pos1 - check(
+ test("pos1") - check(
"cross[210,jvm].suffix",
Right(Seq(_.cross("210", "jvm").suffix))
)
- 'pos2 - check(
+ test("pos2") - check(
"cross[211,jvm].suffix",
Right(Seq(_.cross("211", "jvm").suffix))
)
- 'wildcard - {
- 'labelNeg - check(
+ test("wildcard"){
+ test("labelNeg") - check(
"_.suffix",
Left("Cannot resolve _.suffix. Try `mill resolve _._` to see what's available.")
)
- 'labelPos - check(
+ test("labelPos") - check(
"__.suffix",
Right(Seq(
_.cross("210", "jvm").suffix,
@@ -177,7 +177,7 @@ object MainTests extends TestSuite{
_.cross("212", "native").suffix
))
)
- 'first - check(
+ test("first") - check(
"cross[_,jvm].suffix",
Right(Seq(
_.cross("210", "jvm").suffix,
@@ -185,14 +185,14 @@ object MainTests extends TestSuite{
_.cross("212", "jvm").suffix
))
)
- 'second - check(
+ test("second") - check(
"cross[210,_].suffix",
Right(Seq(
_.cross("210", "jvm").suffix,
_.cross("210", "js").suffix
))
)
- 'both - check(
+ test("both") - check(
"cross[_,_].suffix",
Right(Seq(
_.cross("210", "jvm").suffix,
@@ -206,7 +206,7 @@ object MainTests extends TestSuite{
_.cross("212", "native").suffix
))
)
- 'both2 - check(
+ test("both2") - check(
"cross[__].suffix",
Right(Seq(
_.cross("210", "jvm").suffix,
@@ -222,18 +222,18 @@ object MainTests extends TestSuite{
)
}
}
- 'nested - {
+ test("nested"){
val check = MainTests.check(nestedCrosses) _
- 'pos1 - check(
+ test("pos1") - check(
"cross[210].cross2[js].suffix",
Right(Seq(_.cross("210").cross2("js").suffix))
)
- 'pos2 - check(
+ test("pos2") - check(
"cross[211].cross2[jvm].suffix",
Right(Seq(_.cross("211").cross2("jvm").suffix))
)
- 'wildcard - {
- 'first - check(
+ test("wildcard"){
+ test("first") - check(
"cross[_].cross2[jvm].suffix",
Right(Seq(
_.cross("210").cross2("jvm").suffix,
@@ -241,7 +241,7 @@ object MainTests extends TestSuite{
_.cross("212").cross2("jvm").suffix
))
)
- 'second - check(
+ test("second") - check(
"cross[210].cross2[_].suffix",
Right(Seq(
_.cross("210").cross2("jvm").suffix,
@@ -249,7 +249,7 @@ object MainTests extends TestSuite{
_.cross("210").cross2("native").suffix
))
)
- 'both - check(
+ test("both") - check(
"cross[_].cross2[_].suffix",
Right(Seq(
_.cross("210").cross2("jvm").suffix,
diff --git a/main/test/src/util/ParseArgsTest.scala b/main/test/src/util/ParseArgsTest.scala
index e31baf4f..ca34b601 100644
--- a/main/test/src/util/ParseArgsTest.scala
+++ b/main/test/src/util/ParseArgsTest.scala
@@ -7,7 +7,7 @@ import utest._
object ParseArgsTest extends TestSuite {
val tests = Tests {
- 'extractSelsAndArgs - {
+ test("extractSelsAndArgs"){
def check(input: Seq[String],
expectedSelectors: Seq[String],
expectedArgs: Seq[String],
@@ -20,41 +20,41 @@ object ParseArgsTest extends TestSuite {
)
}
- 'empty - check(input = Seq.empty,
+ test("empty") - check(input = Seq.empty,
expectedSelectors = Seq.empty,
expectedArgs = Seq.empty,
multiSelect = false)
- 'singleSelector - check(
+ test("singleSelector") - check(
input = Seq("core.compile"),
expectedSelectors = Seq("core.compile"),
expectedArgs = Seq.empty,
multiSelect = false
)
- 'singleSelectorWithArgs - check(
+ test("singleSelectorWithArgs") - check(
input = Seq("application.run", "hello", "world"),
expectedSelectors = Seq("application.run"),
expectedArgs = Seq("hello", "world"),
multiSelect = false
)
- 'singleSelectorWithAllInArgs - check(
+ test("singleSelectorWithAllInArgs") - check(
input = Seq("application.run", "hello", "world", "--all"),
expectedSelectors = Seq("application.run"),
expectedArgs = Seq("hello", "world", "--all"),
multiSelect = false
)
- 'multiSelectors - check(
+ test("multiSelectors") - check(
input = Seq("core.jar", "core.docJar", "core.sourcesJar"),
expectedSelectors = Seq("core.jar", "core.docJar", "core.sourcesJar"),
expectedArgs = Seq.empty,
multiSelect = true
)
- 'multiSelectorsSeq - check(
+ test("multiSelectorsSeq") - check(
input = Seq("core.jar", "core.docJar", "core.sourcesJar"),
expectedSelectors = Seq("core.jar", "core.docJar", "core.sourcesJar"),
expectedArgs = Seq.empty,
multiSelect = true
)
- 'multiSelectorsWithArgs - check(
+ test("multiSelectorsWithArgs") - check(
input = Seq("core.compile",
"application.runMain",
"--",
@@ -65,7 +65,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq("Main", "hello", "world"),
multiSelect = true
)
- 'multiSelectorsWithArgsWithAllInArgs - check(
+ test("multiSelectorsWithArgsWithAllInArgs") - check(
input = Seq("core.compile",
"application.runMain",
"--",
@@ -77,22 +77,22 @@ object ParseArgsTest extends TestSuite {
multiSelect = true
)
}
- 'expandBraces - {
+ test("expandBraces"){
def check(input: String, expectedExpansion: List[String]) = {
val Right(expanded) = ParseArgs.expandBraces(input)
assert(expanded == expectedExpansion)
}
- 'expandLeft - check(
+ test("expandLeft") - check(
"{application,core}.compile",
List("application.compile", "core.compile")
)
- 'expandRight - check(
+ test("expandRight") - check(
"application.{jar,docJar,sourcesJar}",
List("application.jar", "application.docJar", "application.sourcesJar")
)
- 'expandBoth - check(
+ test("expandBoth") - check(
"{core,application}.{jar,docJar}",
List(
"core.jar",
@@ -101,7 +101,7 @@ object ParseArgsTest extends TestSuite {
"application.docJar"
)
)
- 'expandNested - {
+ test("expandNested"){
check("{hello,world.{cow,moo}}",
List("hello", "world.cow", "world.moo"))
check("{a,b{c,d}}", List("a", "bc", "bd"))
@@ -112,11 +112,11 @@ object ParseArgsTest extends TestSuite {
check("{a{b,c},d{e,f}}", List("ab", "ac", "de", "df"))
check("{a,b{c,d},e{f,g}}", List("a", "bc", "bd", "ef", "eg"))
}
- 'expandMixed - check(
+ test("expandMixed") - check(
"{a,b}.{c}.{}.e",
List("a.{c}.{}.e", "b.{c}.{}.e")
)
- 'malformed - {
+ test("malformed"){
val malformed = Seq("core.{compile", "core.{compile,test]")
malformed.foreach { m =>
@@ -124,12 +124,12 @@ object ParseArgsTest extends TestSuite {
assert(error.contains("Parsing exception"))
}
}
- 'dontExpand - {
+ test("dontExpand"){
check("core.compile", List("core.compile"))
check("{}.compile", List("{}.compile"))
check("{core}.compile", List("{core}.compile"))
}
- 'keepUnknownSymbols - {
+ test("keepUnknownSymbols"){
check("{a,b}.e<>", List("a.e<>", "b.e<>"))
check("a[99]&&", List("a[99]&&"))
check(
@@ -139,7 +139,7 @@ object ParseArgsTest extends TestSuite {
}
}
- 'apply - {
+ test("apply"){
def check(input: Seq[String],
expectedSelectors: List[(Option[List[Segment]], List[Segment])],
expectedArgs: Seq[String],
@@ -156,10 +156,10 @@ object ParseArgsTest extends TestSuite {
)
}
- 'rejectEmpty {
+ test("rejectEmpty"){
assert(ParseArgs(Seq.empty, multiSelect = false) == Left("Selector cannot be empty"))
}
- 'singleSelector - check(
+ test("singleSelector") - check(
input = Seq("core.compile"),
expectedSelectors = List(
None -> List(Label("core"), Label("compile"))
@@ -167,7 +167,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq.empty,
multiSelect = false
)
- 'externalSelector - check(
+ test("externalSelector") - check(
input = Seq("foo.bar/core.compile"),
expectedSelectors = List(
Some(List(Label("foo"), Label("bar"))) -> List(Label("core"), Label("compile"))
@@ -175,7 +175,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq.empty,
multiSelect = false
)
- 'singleSelectorWithArgs - check(
+ test("singleSelectorWithArgs") - check(
input = Seq("application.run", "hello", "world"),
expectedSelectors = List(
None -> List(Label("application"), Label("run"))
@@ -183,7 +183,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq("hello", "world"),
multiSelect = false
)
- 'singleSelectorWithCross - check(
+ test("singleSelectorWithCross") - check(
input = Seq("bridges[2.12.4,jvm].compile"),
expectedSelectors = List(
None -> List(Label("bridges"), Cross(Seq("2.12.4", "jvm")), Label("compile"))
@@ -191,7 +191,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq.empty,
multiSelect = false
)
- 'multiSelectorsBraceExpansion - check(
+ test("multiSelectorsBraceExpansion") - check(
input = Seq("{core,application}.compile"),
expectedSelectors = List(
None -> List(Label("core"), Label("compile")),
@@ -200,7 +200,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq.empty,
multiSelect = true
)
- 'multiSelectorsBraceExpansionWithArgs - check(
+ test("multiSelectorsBraceExpansionWithArgs") - check(
input = Seq("{core,application}.run", "--", "hello", "world"),
expectedSelectors = List(
None -> List(Label("core"), Label("run")),
@@ -209,7 +209,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq("hello", "world"),
multiSelect = true
)
- 'multiSelectorsBraceExpansionWithCross - check(
+ test("multiSelectorsBraceExpansionWithCross") - check(
input = Seq("bridges[2.12.4,jvm].{test,jar}"),
expectedSelectors = List(
None -> List(Label("bridges"), Cross(Seq("2.12.4", "jvm")), Label("test")),
@@ -218,7 +218,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq.empty,
multiSelect = true
)
- 'multiSelectorsBraceExpansionInsideCross - check(
+ test("multiSelectorsBraceExpansionInsideCross") - check(
input = Seq("bridges[{2.11.11,2.11.8,2.13.0-M3}].jar"),
expectedSelectors = List(
None -> List(Label("bridges"), Cross(Seq("2.11.11")), Label("jar")),
@@ -228,7 +228,7 @@ object ParseArgsTest extends TestSuite {
expectedArgs = Seq.empty,
multiSelect = true
)
- 'multiSelectorsBraceExpansionWithoutAll - {
+ test("multiSelectorsBraceExpansionWithoutAll"){
val res = ParseArgs(Seq("{core,application}.compile"), multiSelect = false)
val expected = Right(
List(
@@ -239,7 +239,7 @@ object ParseArgsTest extends TestSuite {
)
assert(res == expected)
}
- 'multiSelectorsWithoutAllAsSingle - check(
+ test("multiSelectorsWithoutAllAsSingle") - check(
// this is how it works when we pass multiple tasks without --all flag
input = Seq("core.compile", "application.compile"),
expectedSelectors = List(
diff --git a/scalajslib/test/resources/hello-js-world/test/src/utest/ArgsParserTests.scala b/scalajslib/test/resources/hello-js-world/test/src/utest/ArgsParserTests.scala
index d0baa965..c8d77e2c 100644
--- a/scalajslib/test/resources/hello-js-world/test/src/utest/ArgsParserTests.scala
+++ b/scalajslib/test/resources/hello-js-world/test/src/utest/ArgsParserTests.scala
@@ -3,14 +3,14 @@ import utest._
object ArgsParserTests extends TestSuite {
def tests: Tests = Tests {
- 'one - {
+ test("one"){
val result = ArgsParser.parse("hello:world")
assert(
result.length == 2,
result == Seq("hello", "world")
)
}
- 'two - { // we fail this test to check testing in scala.js
+ test("two"){ // we fail this test to check testing in scala.js
val result = ArgsParser.parse("hello:world")
assert(
result.length == 80
diff --git a/scalajslib/test/resources/hello-js-world/test/src/utest/MainTests.scala b/scalajslib/test/resources/hello-js-world/test/src/utest/MainTests.scala
index 937d96f8..defe8e17 100644
--- a/scalajslib/test/resources/hello-js-world/test/src/utest/MainTests.scala
+++ b/scalajslib/test/resources/hello-js-world/test/src/utest/MainTests.scala
@@ -3,13 +3,13 @@ import utest._
object MainTests extends TestSuite {
def tests: Tests = Tests {
- 'vmName - {
- 'containJs - {
+ test("vmName"){
+ test("containJs"){
assert(
Main.vmName.contains("js")
)
}
- 'containScala - {
+ test("containScala"){
assert(
Main.vmName.contains("Scala")
)
diff --git a/scalajslib/test/resources/multi-module/client/test/src/MainTests.scala b/scalajslib/test/resources/multi-module/client/test/src/MainTests.scala
index 66670233..17bfc2f4 100644
--- a/scalajslib/test/resources/multi-module/client/test/src/MainTests.scala
+++ b/scalajslib/test/resources/multi-module/client/test/src/MainTests.scala
@@ -3,20 +3,20 @@ import shared.Utils
object MainTests extends TestSuite {
def tests: Tests = Tests {
- 'Lib - {
- 'addTwice - {
+ test("Lib"){
+ test("addTwice"){
assert(
Lib.addTwice(1, 2) == 6
)
}
- 'parse - {
+ test("parse"){
assert(
Lib.parse("hello:world") == Seq("hello", "world")
)
}
}
- 'shared - {
- 'add - {
+ test("shared"){
+ test("add"){
assert(
Utils.add(1, 2) == 3
)
diff --git a/scalajslib/test/src/HelloJSWorldTests.scala b/scalajslib/test/src/HelloJSWorldTests.scala
index 1b3e9bb3..a8148f84 100644
--- a/scalajslib/test/src/HelloJSWorldTests.scala
+++ b/scalajslib/test/src/HelloJSWorldTests.scala
@@ -78,7 +78,7 @@ object HelloJSWorldTests extends TestSuite {
def tests: Tests = Tests {
prepareWorkspace()
- 'compile - {
+ test("compile"){
def testCompileFromScratch(scalaVersion: String,
scalaJSVersion: String): Unit = {
val Right((result, evalCount)) =
@@ -98,10 +98,10 @@ object HelloJSWorldTests extends TestSuite {
assert(unchangedEvalCount == 0)
}
- 'fromScratch_2124_0622 - testCompileFromScratch("2.12.4", "0.6.22")
- 'fromScratch_2123_0622 - testCompileFromScratch("2.12.3", "0.6.22")
- 'fromScratch_2118_0622 - TestUtil.disableInJava9OrAbove(testCompileFromScratch("2.11.8", "0.6.22"))
- 'fromScratch_2124_100M2 - testCompileFromScratch("2.12.4", "1.0.0-M2")
+ test("fromScratch_2124_0622") - testCompileFromScratch("2.12.4", "0.6.22")
+ test("fromScratch_2123_0622") - testCompileFromScratch("2.12.3", "0.6.22")
+ test("fromScratch_2118_0622") - TestUtil.disableInJava9OrAbove(testCompileFromScratch("2.11.8", "0.6.22"))
+ test("fromScratch_2124_100M2") - testCompileFromScratch("2.12.4", "1.0.0-M2")
}
def testRun(scalaVersion: String,
@@ -116,37 +116,37 @@ object HelloJSWorldTests extends TestSuite {
assert(output == "Hello Scala.js")
}
- 'fullOpt - {
- 'run_2124_0622 - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "0.6.22", FullOpt))
- 'run_2123_0622 - TestUtil.disableInJava9OrAbove(testRun("2.12.3", "0.6.22", FullOpt))
- 'run_2118_0622 - TestUtil.disableInJava9OrAbove(testRun("2.11.8", "0.6.22", FullOpt))
- 'run_2124_100M2 - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "1.0.0-M2", FullOpt))
+ test("fullOpt"){
+ test("run_2124_0622") - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "0.6.22", FullOpt))
+ test("run_2123_0622") - TestUtil.disableInJava9OrAbove(testRun("2.12.3", "0.6.22", FullOpt))
+ test("run_2118_0622") - TestUtil.disableInJava9OrAbove(testRun("2.11.8", "0.6.22", FullOpt))
+ test("run_2124_100M2") - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "1.0.0-M2", FullOpt))
}
- 'fastOpt - {
- 'run_2124_0622 - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "0.6.22", FastOpt))
- 'run_2123_0622 - TestUtil.disableInJava9OrAbove(testRun("2.12.3", "0.6.22", FastOpt))
- 'run_2118_0622 - TestUtil.disableInJava9OrAbove(testRun("2.11.8", "0.6.22", FastOpt))
- 'run_2124_100M2 - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "1.0.0-M2", FastOpt))
+ test("fastOpt"){
+ test("run_2124_0622") - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "0.6.22", FastOpt))
+ test("run_2123_0622") - TestUtil.disableInJava9OrAbove(testRun("2.12.3", "0.6.22", FastOpt))
+ test("run_2118_0622") - TestUtil.disableInJava9OrAbove(testRun("2.11.8", "0.6.22", FastOpt))
+ test("run_2124_100M2") - TestUtil.disableInJava9OrAbove(testRun("2.12.4", "1.0.0-M2", FastOpt))
}
- 'jar - {
- 'containsSJSIRs - {
+ test("jar"){
+ test("containsSJSIRs"){
val Right((result, evalCount)) = helloWorldEvaluator(HelloJSWorld.helloJsWorld("2.12.4", "0.6.22").jar)
val jar = result.path
val entries = new JarFile(jar.toIO).entries().asScala.map(_.getName)
assert(entries.contains("Main$.sjsir"))
}
}
- 'publish - {
+ test("publish"){
def testArtifactId(scalaVersion: String,
scalaJSVersion: String,
artifactId: String): Unit = {
val Right((result, evalCount)) = helloWorldEvaluator(HelloJSWorld.helloJsWorld(scalaVersion, scalaJSVersion).artifactMetadata)
assert(result.id == artifactId)
}
- 'artifactId_0622 - testArtifactId("2.12.4", "0.6.22", "hello-js-world_sjs0.6_2.12")
- 'artifactId_100M2 - testArtifactId("2.12.4", "1.0.0-M2", "hello-js-world_sjs1.0.0-M2_2.12")
+ test("artifactId_0622") - testArtifactId("2.12.4", "0.6.22", "hello-js-world_sjs0.6_2.12")
+ test("artifactId_100M2") - testArtifactId("2.12.4", "1.0.0-M2", "hello-js-world_sjs1.0.0-M2_2.12")
}
- 'test - {
+ test("test"){
def runTests(testTask: define.Command[(String, Seq[TestRunner.Result])]): Map[String, Map[String, TestRunner.Result]] = {
val Left(Result.Failure(_, Some(res))) = helloWorldEvaluator(testTask)
@@ -190,16 +190,16 @@ object HelloJSWorldTests extends TestSuite {
)
}
- 'utest_2118_0622 - TestUtil.disableInJava9OrAbove(checkUtest("2.11.8", "0.6.22"))
- 'utest_2124_0622 - checkUtest("2.12.4", "0.6.22")
- 'utest_2118_100M2 - TestUtil.disableInJava9OrAbove(checkUtest("2.11.8", "1.0.0-M2"))
- 'utest_2124_100M2 - checkUtest("2.12.4", "1.0.0-M2")
+ test("utest_2118_0622") - TestUtil.disableInJava9OrAbove(checkUtest("2.11.8", "0.6.22"))
+ test("utest_2124_0622") - checkUtest("2.12.4", "0.6.22")
+ test("utest_2118_100M2") - TestUtil.disableInJava9OrAbove(checkUtest("2.11.8", "1.0.0-M2"))
+ test("utest_2124_100M2") - checkUtest("2.12.4", "1.0.0-M2")
- 'scalaTest_2118_0622 - TestUtil.disableInJava9OrAbove(checkScalaTest("2.11.8", "0.6.22"))
- 'scalaTest_2124_0622 - checkScalaTest("2.12.4", "0.6.22")
+ test("scalaTest_2118_0622") - TestUtil.disableInJava9OrAbove(checkScalaTest("2.11.8", "0.6.22"))
+ test("scalaTest_2124_0622") - checkScalaTest("2.12.4", "0.6.22")
// No scalatest artifact for scala.js 1.0.0-M2 published yet
-// 'scalaTest_2118_100M2 - checkScalaTest("2.11.8", "1.0.0-M2")
-// 'scalaTest_2124_100M2 - checkScalaTest("2.12.4", "1.0.0-M2")
+// test("scalaTest_2118_100M2") - checkScalaTest("2.11.8", "1.0.0-M2")
+// test("scalaTest_2124_100M2") - checkScalaTest("2.12.4", "1.0.0-M2")
}
def checkRun(scalaVersion: String, scalaJSVersion: String): Unit = {
@@ -219,11 +219,11 @@ object HelloJSWorldTests extends TestSuite {
)
}
- 'run - {
+ test("run"){
'run_2118_0622 - TestUtil.disableInJava9OrAbove(checkRun("2.11.8", "0.6.22"))
'run_2124_0622 - checkRun("2.12.4", "0.6.22")
- 'run_2118_100M2 - TestUtil.disableInJava9OrAbove(checkRun("2.11.8", "1.0.0-M2"))
- 'run_2124_100M2 - checkRun("2.12.4", "1.0.0-M2")
+ test("run_2118_100M2") - TestUtil.disableInJava9OrAbove(checkRun("2.11.8", "1.0.0-M2"))
+ test("run_2124_100M2") - checkRun("2.12.4", "1.0.0-M2")
}
}
diff --git a/scalajslib/test/src/MultiModuleTests.scala b/scalajslib/test/src/MultiModuleTests.scala
index 2ffea2cf..5656d6b4 100644
--- a/scalajslib/test/src/MultiModuleTests.scala
+++ b/scalajslib/test/src/MultiModuleTests.scala
@@ -53,10 +53,10 @@ object MultiModuleTests extends TestSuite {
)
}
- 'fastOpt - TestUtil.disableInJava9OrAbove(checkOpt(FastOpt))
- 'fullOpt - TestUtil.disableInJava9OrAbove(checkOpt(FullOpt))
+ test("fastOpt") - TestUtil.disableInJava9OrAbove(checkOpt(FastOpt))
+ test("fullOpt") - TestUtil.disableInJava9OrAbove(checkOpt(FullOpt))
- 'test - {
+ test("test"){
val Right(((_, testResults), evalCount)) = evaluator(MultiModule.client.test.test())
assert(
@@ -66,7 +66,7 @@ object MultiModuleTests extends TestSuite {
)
}
- 'run - {
+ test("run"){
val command = MultiModule.client.run()
val Right((_, evalCount)) = evaluator(command)
diff --git a/scalajslib/test/src/NodeJSConfigTests.scala b/scalajslib/test/src/NodeJSConfigTests.scala
index 25b5face..42db7ded 100644
--- a/scalajslib/test/src/NodeJSConfigTests.scala
+++ b/scalajslib/test/src/NodeJSConfigTests.scala
@@ -74,23 +74,23 @@ object NodeJSConfigTests extends TestSuite {
)
}
- 'test - {
+ test("test"){
def checkUtest(nodeArgs: List[String], notNodeArgs: List[String]) = {
checkLog(HelloJSWorld.buildUTest(scalaVersion, nodeArgs).test.test(), nodeArgs, notNodeArgs)
}
- 'test - checkUtest(nodeArgsEmpty, nodeArgs2G)
- 'test2G - checkUtest(nodeArgs2G, nodeArgs4G)
+ test("test") - checkUtest(nodeArgsEmpty, nodeArgs2G)
+ test("test2G") - checkUtest(nodeArgs2G, nodeArgs4G)
}
def checkRun(nodeArgs: List[String], notNodeArgs: List[String]): Unit = {
checkLog(HelloJSWorld.helloJsWorld(scalaVersion, nodeArgs).run(), nodeArgs, notNodeArgs)
}
- 'run - {
- 'run - checkRun(nodeArgsEmpty, nodeArgs2G)
- 'run2G - checkRun(nodeArgs2G, nodeArgs4G)
+ test("run"){
+ test("run") - checkRun(nodeArgsEmpty, nodeArgs2G)
+ test("run2G") - checkRun(nodeArgs2G, nodeArgs4G)
}
}
diff --git a/scalalib/test/src/GenIdeaTests.scala b/scalalib/test/src/GenIdeaTests.scala
index 60c9f9a8..10034e88 100644
--- a/scalalib/test/src/GenIdeaTests.scala
+++ b/scalalib/test/src/GenIdeaTests.scala
@@ -21,7 +21,7 @@ object GenIdeaTests extends TestSuite {
val helloWorldEvaluator = TestEvaluator.static(HelloWorld)
def tests: Tests = Tests {
- 'genIdeaTests - {
+ test("genIdeaTests"){
val pp = new scala.xml.PrettyPrinter(999, 4)
val layout = GenIdeaImpl.xmlFileLayout(
diff --git a/scalalib/test/src/HelloJavaTests.scala b/scalalib/test/src/HelloJavaTests.scala
index 02c2567f..66511ad8 100644
--- a/scalalib/test/src/HelloJavaTests.scala
+++ b/scalalib/test/src/HelloJavaTests.scala
@@ -36,7 +36,7 @@ object HelloJavaTests extends TestSuite {
eval
}
def tests: Tests = Tests {
- 'compile - {
+ test("compile"){
val eval = init()
val Right((res1, n1)) = eval.apply(HelloJava.core.compile)
@@ -64,7 +64,7 @@ object HelloJavaTests extends TestSuite {
os.proc("jar", "tf", ref2.path).call().out.lines.contains("hello/Main.html")
)
}
- 'test - {
+ test("test"){
val eval = init()
val Left(Result.Failure(ref1, Some(v1))) = eval.apply(HelloJava.core.test.test())
@@ -85,7 +85,7 @@ object HelloJavaTests extends TestSuite {
v2._2(1).status == "Success"
)
}
- 'failures - {
+ test("failures"){
val eval = init()
val mainJava = HelloJava.millSourcePath / 'app / 'src / "Main.java"
diff --git a/scalalib/test/src/HelloWorldTests.scala b/scalalib/test/src/HelloWorldTests.scala
index 57750991..80f78fe7 100644
--- a/scalalib/test/src/HelloWorldTests.scala
+++ b/scalalib/test/src/HelloWorldTests.scala
@@ -309,9 +309,9 @@ object HelloWorldTests extends TestSuite {
def tests: Tests = Tests {
- 'scalaVersion - {
+ test("scalaVersion"){
- 'fromBuild - workspaceTest(HelloWorld){eval =>
+ test("fromBuild") - workspaceTest(HelloWorld){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.scalaVersion)
assert(
@@ -319,7 +319,7 @@ object HelloWorldTests extends TestSuite {
evalCount > 0
)
}
- 'override - workspaceTest(HelloWorldScalaOverride){eval =>
+ test("override") - workspaceTest(HelloWorldScalaOverride){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldScalaOverride.core.scalaVersion)
assert(
@@ -329,8 +329,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'scalacOptions - {
- 'emptyByDefault - workspaceTest(HelloWorld){eval =>
+ test("scalacOptions"){
+ test("emptyByDefault") - workspaceTest(HelloWorld){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.scalacOptions)
assert(
@@ -338,7 +338,7 @@ object HelloWorldTests extends TestSuite {
evalCount > 0
)
}
- 'override - workspaceTest(HelloWorldFatalWarnings){ eval =>
+ test("override") - workspaceTest(HelloWorldFatalWarnings){ eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldFatalWarnings.core.scalacOptions)
assert(
@@ -348,22 +348,22 @@ object HelloWorldTests extends TestSuite {
}
}
- 'scalaDocOptions - {
- 'emptyByDefault - workspaceTest(HelloWorld){eval =>
+ test("scalaDocOptions"){
+ test("emptyByDefault") - workspaceTest(HelloWorld){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.scalaDocOptions)
assert(
result.isEmpty,
evalCount > 0
)
}
- 'override - workspaceTest(HelloWorldDocTitle){ eval =>
+ test("override") - workspaceTest(HelloWorldDocTitle){ eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldDocTitle.core.scalaDocOptions)
assert(
result == Seq("-doc-title", "Hello World"),
evalCount > 0
)
}
- 'extend - workspaceTest(HelloWorldWithDocVersion){ eval =>
+ test("extend") - workspaceTest(HelloWorldWithDocVersion){ eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldWithDocVersion.core.scalaDocOptions)
assert(
result == Seq("-Ywarn-unused", "-Xfatal-warnings", "-doc-version", "1.2.3"),
@@ -371,7 +371,7 @@ object HelloWorldTests extends TestSuite {
)
}
// make sure options are passed during ScalaDoc generation
- 'docJarWithTitle - workspaceTest(
+ test("docJarWithTitle") - workspaceTest(
HelloWorldDocTitle,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-world"
){ eval =>
@@ -381,14 +381,14 @@ object HelloWorldTests extends TestSuite {
os.read(eval.outPath / 'core / 'docJar / 'dest / 'javadoc / "index.html").contains("<span id=\"doc-title\">Hello World")
)
}
- 'docJarWithVersion - workspaceTest(
+ test("docJarWithVersion") - workspaceTest(
HelloWorldWithDocVersion,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-world"
){ eval =>
// scaladoc generation fails because of "-Xfatal-warnings" flag
val Left(Result.Failure("docJar generation failed", None)) = eval.apply(HelloWorldWithDocVersion.core.docJar)
}
- 'docJarOnlyVersion - workspaceTest(
+ test("docJarOnlyVersion") - workspaceTest(
HelloWorldOnlyDocVersion,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-world"
){ eval =>
@@ -400,8 +400,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'scalacPluginClasspath - {
- 'withMacroParadise - workspaceTest(HelloWorldTypeLevel){eval =>
+ test("scalacPluginClasspath"){
+ test("withMacroParadise") - workspaceTest(HelloWorldTypeLevel){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldTypeLevel.foo.scalacPluginClasspath)
assert(
result.nonEmpty,
@@ -411,8 +411,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'scalaDocPluginClasspath - {
- 'extend - workspaceTest(HelloWorldTypeLevel){eval =>
+ test("scalaDocPluginClasspath"){
+ test("extend") - workspaceTest(HelloWorldTypeLevel){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldTypeLevel.foo.scalaDocPluginClasspath)
assert(
result.nonEmpty,
@@ -423,8 +423,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'compile - {
- 'fromScratch - workspaceTest(HelloWorld){eval =>
+ test("compile"){
+ test("fromScratch") - workspaceTest(HelloWorld){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorld.core.compile)
val analysisFile = result.analysisFile
@@ -445,7 +445,7 @@ object HelloWorldTests extends TestSuite {
assert(unchangedEvalCount == 0)
}
- 'recompileOnChange - workspaceTest(HelloWorld){eval =>
+ test("recompileOnChange") - workspaceTest(HelloWorld){eval =>
val Right((_, freshCount)) = eval.apply(HelloWorld.core.compile)
assert(freshCount > 0)
@@ -454,7 +454,7 @@ object HelloWorldTests extends TestSuite {
val Right((_, incCompileCount)) = eval.apply(HelloWorld.core.compile)
assert(incCompileCount > 0, incCompileCount < freshCount)
}
- 'failOnError - workspaceTest(HelloWorld){eval =>
+ test("failOnError") - workspaceTest(HelloWorld){eval =>
os.write.append(HelloWorld.millSourcePath / 'core / 'src / "Main.scala", "val x: ")
val Left(Result.Failure("Compilation failed", _)) = eval.apply(HelloWorld.core.compile)
@@ -477,14 +477,14 @@ object HelloWorldTests extends TestSuite {
val Right((result, evalCount)) = eval.apply(HelloWorld.core.compile)
}
- 'passScalacOptions - workspaceTest(HelloWorldFatalWarnings){ eval =>
+ test("passScalacOptions") - workspaceTest(HelloWorldFatalWarnings){ eval =>
// compilation fails because of "-Xfatal-warnings" flag
val Left(Result.Failure("Compilation failed", _)) = eval.apply(HelloWorldFatalWarnings.core.compile)
}
}
- 'runMain - {
- 'runMainObject - workspaceTest(HelloWorld){eval =>
+ test("runMain"){
+ test("runMainObject") - workspaceTest(HelloWorld){eval =>
val runResult = eval.outPath / 'core / 'runMain / 'dest / "hello-mill"
val Right((_, evalCount)) = eval.apply(HelloWorld.core.runMain("Main", runResult.toString))
@@ -495,7 +495,7 @@ object HelloWorldTests extends TestSuite {
os.read(runResult) == "hello rockjam, your age is: 25"
)
}
- 'runCross - {
+ test("runCross"){
def cross(eval: TestEvaluator, v: String, expectedOut: String) {
val runResult = eval.outPath / "hello-mill"
@@ -512,18 +512,18 @@ object HelloWorldTests extends TestSuite {
os.read(runResult) == expectedOut
)
}
- 'v210 - TestUtil.disableInJava9OrAbove(workspaceTest(CrossHelloWorld)(cross(_, "2.10.6", "2.10.6 rox")))
- 'v211 - TestUtil.disableInJava9OrAbove(workspaceTest(CrossHelloWorld)(cross(_, "2.11.11", "2.11.11 pwns")))
- 'v2123 - workspaceTest(CrossHelloWorld)(cross(_, "2.12.3", "2.12.3 leet"))
- 'v2124 - workspaceTest(CrossHelloWorld)(cross(_, "2.12.4", "2.12.4 leet"))
- 'v2130M3 - workspaceTest(CrossHelloWorld)(cross(_, "2.13.0-M3", "2.13.0-M3 idk"))
+ test("v210") - TestUtil.disableInJava9OrAbove(workspaceTest(CrossHelloWorld)(cross(_, "2.10.6", "2.10.6 rox")))
+ test("v211") - TestUtil.disableInJava9OrAbove(workspaceTest(CrossHelloWorld)(cross(_, "2.11.11", "2.11.11 pwns")))
+ test("v2123") - workspaceTest(CrossHelloWorld)(cross(_, "2.12.3", "2.12.3 leet"))
+ test("v2124") - workspaceTest(CrossHelloWorld)(cross(_, "2.12.4", "2.12.4 leet"))
+ test("v2130M3") - workspaceTest(CrossHelloWorld)(cross(_, "2.13.0-M3", "2.13.0-M3 idk"))
}
- 'notRunInvalidMainObject - workspaceTest(HelloWorld){eval =>
+ test("notRunInvalidMainObject") - workspaceTest(HelloWorld){eval =>
val Left(Result.Failure("subprocess failed", _)) = eval.apply(HelloWorld.core.runMain("Invalid"))
}
- 'notRunWhenCompileFailed - workspaceTest(HelloWorld){eval =>
+ test("notRunWhenCompileFailed") - workspaceTest(HelloWorld){eval =>
os.write.append(HelloWorld.millSourcePath / 'core / 'src / "Main.scala", "val x: ")
val Left(Result.Failure("Compilation failed", _)) = eval.apply(HelloWorld.core.runMain("Main"))
@@ -531,8 +531,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'forkRun - {
- 'runIfMainClassProvided - workspaceTest(HelloWorldWithMain){eval =>
+ test("forkRun"){
+ test("runIfMainClassProvided") - workspaceTest(HelloWorldWithMain){eval =>
val runResult = eval.outPath / 'core / 'run / 'dest / "hello-mill"
val Right((_, evalCount)) = eval.apply(
HelloWorldWithMain.core.run(runResult.toString)
@@ -546,14 +546,14 @@ object HelloWorldTests extends TestSuite {
os.read(runResult) == "hello rockjam, your age is: 25"
)
}
- 'notRunWithoutMainClass - workspaceTest(
+ test("notRunWithoutMainClass") - workspaceTest(
HelloWorldWithoutMain,
os.pwd / 'scalalib / 'test / 'resources / "hello-world-no-main"
){eval =>
val Left(Result.Failure(_, None)) = eval.apply(HelloWorldWithoutMain.core.run())
}
- 'runDiscoverMainClass - workspaceTest(HelloWorldWithoutMain){eval =>
+ test("runDiscoverMainClass") - workspaceTest(HelloWorldWithoutMain){eval =>
// Make sure even if there isn't a main class defined explicitly, it gets
// discovered by Zinc and used
val runResult = eval.outPath / 'core / 'run / 'dest / "hello-mill"
@@ -571,8 +571,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'run - {
- 'runIfMainClassProvided - workspaceTest(HelloWorldWithMain){eval =>
+ test("run"){
+ test("runIfMainClassProvided") - workspaceTest(HelloWorldWithMain){eval =>
val runResult = eval.outPath / 'core / 'run / 'dest / "hello-mill"
val Right((_, evalCount)) = eval.apply(
HelloWorldWithMain.core.runLocal(runResult.toString)
@@ -586,7 +586,7 @@ object HelloWorldTests extends TestSuite {
os.read(runResult) == "hello rockjam, your age is: 25"
)
}
- 'runWithDefaultMain - workspaceTest(HelloWorldDefaultMain){eval =>
+ test("runWithDefaultMain") - workspaceTest(HelloWorldDefaultMain){eval =>
val runResult = eval.outPath / 'core / 'run / 'dest / "hello-mill"
val Right((_, evalCount)) = eval.apply(
HelloWorldDefaultMain.core.runLocal(runResult.toString)
@@ -600,7 +600,7 @@ object HelloWorldTests extends TestSuite {
os.read(runResult) == "hello rockjam, your age is: 25"
)
}
- 'notRunWithoutMainClass - workspaceTest(
+ test("notRunWithoutMainClass") - workspaceTest(
HelloWorldWithoutMain,
os.pwd / 'scalalib / 'test / 'resources / "hello-world-no-main"
){eval =>
@@ -609,8 +609,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'jar - {
- 'nonEmpty - workspaceTest(HelloWorldWithMain){eval =>
+ test("jar"){
+ test("nonEmpty") - workspaceTest(HelloWorldWithMain){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldWithMain.core.jar)
assert(
@@ -636,7 +636,7 @@ object HelloWorldTests extends TestSuite {
assert(mainClass.contains("Main"))
}
- 'logOutputToFile - workspaceTest(HelloWorld){eval =>
+ test("logOutputToFile") - workspaceTest(HelloWorld){eval =>
val outPath = eval.outPath
eval.apply(HelloWorld.core.compile)
@@ -645,8 +645,8 @@ object HelloWorldTests extends TestSuite {
}
}
- 'assembly - {
- 'assembly - workspaceTest(HelloWorldWithMain){ eval =>
+ test("assembly"){
+ test("assembly") - workspaceTest(HelloWorldWithMain){ eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldWithMain.core.assembly)
assert(
os.exists(result.path),
@@ -663,7 +663,7 @@ object HelloWorldTests extends TestSuite {
assert(mainClass.contains("Main"))
}
- 'assemblyRules - {
+ test("assemblyRules"){
def checkAppend[M <: TestUtil.BaseModule](module: M,
target: Target[PathRef]) =
workspaceTest(module) { eval =>
@@ -717,19 +717,19 @@ object HelloWorldTests extends TestSuite {
)
}
- 'appendWithDeps - checkAppend(
+ test("appendWithDeps") - checkAppend(
HelloWorldAkkaHttpAppend,
HelloWorldAkkaHttpAppend.core.assembly
)
- 'appendMultiModule - checkAppendMulti(
+ test("appendMultiModule") - checkAppendMulti(
HelloWorldMultiAppend,
HelloWorldMultiAppend.core.assembly
)
- 'appendPatternWithDeps - checkAppend(
+ test("appendPatternWithDeps") - checkAppend(
HelloWorldAkkaHttpAppendPattern,
HelloWorldAkkaHttpAppendPattern.core.assembly
)
- 'appendPatternMultiModule - checkAppendMulti(
+ test("appendPatternMultiModule") - checkAppendMulti(
HelloWorldMultiAppendPattern,
HelloWorldMultiAppendPattern.core.assembly
)
@@ -746,28 +746,28 @@ object HelloWorldTests extends TestSuite {
assert(!jarEntries(jarFile).contains("reference.conf"))
}
- 'excludeWithDeps - checkExclude(
+ test("excludeWithDeps") - checkExclude(
HelloWorldAkkaHttpExclude,
HelloWorldAkkaHttpExclude.core.assembly
)
- 'excludeMultiModule - checkExclude(
+ test("excludeMultiModule") - checkExclude(
HelloWorldMultiExclude,
HelloWorldMultiExclude.core.assembly,
resourcePath = helloWorldMultiResourcePath
)
- 'excludePatternWithDeps - checkExclude(
+ test("excludePatternWithDeps") - checkExclude(
HelloWorldAkkaHttpExcludePattern,
HelloWorldAkkaHttpExcludePattern.core.assembly
)
- 'excludePatternMultiModule - checkExclude(
+ test("excludePatternMultiModule") - checkExclude(
HelloWorldMultiExcludePattern,
HelloWorldMultiExcludePattern.core.assembly,
resourcePath = helloWorldMultiResourcePath
)
- 'writeDownstreamWhenNoRule - {
- 'withDeps - workspaceTest(HelloWorldAkkaHttpNoRules) { eval =>
+ test("writeDownstreamWhenNoRule"){
+ test("withDeps") - workspaceTest(HelloWorldAkkaHttpNoRules) { eval =>
val Right((result, _)) = eval.apply(HelloWorldAkkaHttpNoRules.core.assembly)
val jarFile = new JarFile(result.path.toIO)
@@ -789,7 +789,7 @@ object HelloWorldTests extends TestSuite {
assert(timesOcccurres == 1)
}
- 'multiModule - workspaceTest(
+ test("multiModule") - workspaceTest(
HelloWorldMultiNoRules,
resourcePath = helloWorldMultiResourcePath
) { eval =>
@@ -812,7 +812,7 @@ object HelloWorldTests extends TestSuite {
}
}
- 'run - workspaceTest(HelloWorldWithMain){eval =>
+ test("run") - workspaceTest(HelloWorldWithMain){eval =>
val Right((result, evalCount)) = eval.apply(HelloWorldWithMain.core.assembly)
assert(
@@ -830,7 +830,7 @@ object HelloWorldTests extends TestSuite {
}
}
- 'ivyDeps - workspaceTest(HelloWorldIvyDeps){ eval =>
+ test("ivyDeps") - workspaceTest(HelloWorldIvyDeps){ eval =>
val Right((result, _)) = eval.apply(HelloWorldIvyDeps.moduleA.runClasspath)
assert(
result.exists(_.path.last == "sourcecode_2.12-0.1.3.jar"),
@@ -844,7 +844,7 @@ object HelloWorldTests extends TestSuite {
)
}
- 'typeLevel - workspaceTest(HelloWorldTypeLevel){ eval =>
+ test("typeLevel") - workspaceTest(HelloWorldTypeLevel){ eval =>
val classPathsToCheck = Seq(
HelloWorldTypeLevel.foo.runClasspath,
HelloWorldTypeLevel.foo.ammoniteReplClasspath,
@@ -864,9 +864,9 @@ object HelloWorldTests extends TestSuite {
}
}
- 'macros - {
+ test("macros"){
// make sure macros are applied when compiling/running
- 'runMain - workspaceTest(
+ test("runMain") - workspaceTest(
HelloWorldMacros,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-world-macros"
){ eval =>
@@ -874,7 +874,7 @@ object HelloWorldTests extends TestSuite {
assert(evalCount > 0)
}
// make sure macros are applied when compiling during scaladoc generation
- 'docJar - workspaceTest(
+ test("docJar") - workspaceTest(
HelloWorldMacros,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-world-macros"
){ eval =>
@@ -883,9 +883,9 @@ object HelloWorldTests extends TestSuite {
}
}
- 'flags - {
+ test("flags"){
// make sure flags are passed when compiling/running
- 'runMain - workspaceTest(
+ test("runMain") - workspaceTest(
HelloWorldFlags,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-world-flags"
){ eval =>
@@ -893,7 +893,7 @@ object HelloWorldTests extends TestSuite {
assert(evalCount > 0)
}
// make sure flags are passed during ScalaDoc generation
- 'docJar - workspaceTest(
+ test("docJar") - workspaceTest(
HelloWorldFlags,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-world-flags"
){ eval =>
@@ -902,7 +902,7 @@ object HelloWorldTests extends TestSuite {
}
}
- 'scalacheck - workspaceTest(
+ test("scalacheck") - workspaceTest(
HelloScalacheck,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-scalacheck"
){ eval =>
@@ -918,7 +918,7 @@ object HelloWorldTests extends TestSuite {
)
}
- 'dotty - workspaceTest(
+ test("dotty") - workspaceTest(
HelloDotty,
resourcePath = os.pwd / 'scalalib / 'test / 'resources / "hello-dotty"
){ eval =>
diff --git a/scalalib/test/src/ResolveDepsTests.scala b/scalalib/test/src/ResolveDepsTests.scala
index 94b8adb9..5722df50 100644
--- a/scalalib/test/src/ResolveDepsTests.scala
+++ b/scalalib/test/src/ResolveDepsTests.scala
@@ -16,20 +16,20 @@ object ResolveDepsTests extends TestSuite {
)
val tests = Tests {
- 'resolveValidDeps - {
+ test("resolveValidDeps"){
val deps = Agg(ivy"com.lihaoyi::pprint:0.5.3")
val Success(paths) = evalDeps(deps)
assert(paths.nonEmpty)
}
- 'resolveValidDepsWithClassifier - {
+ test("resolveValidDepsWithClassifier"){
val deps = Agg(ivy"org.lwjgl:lwjgl:3.1.1;classifier=natives-macos")
val Success(paths) = evalDeps(deps)
assert(paths.nonEmpty)
assert(paths.items.next.path.toString.contains("natives-macos"))
}
- 'resolveTransitiveRuntimeDeps - {
+ test("resolveTransitiveRuntimeDeps"){
val deps = Agg(ivy"org.mockito:mockito-core:2.7.22")
val Success(paths) = evalDeps(deps)
assert(paths.nonEmpty)
@@ -37,37 +37,37 @@ object ResolveDepsTests extends TestSuite {
assert(paths.exists(_.path.toString.contains("byte-buddy")))
}
- 'excludeTransitiveDeps - {
+ test("excludeTransitiveDeps"){
val deps = Agg(ivy"com.lihaoyi::pprint:0.5.3".exclude("com.lihaoyi" -> "fansi_2.12"))
val Success(paths) = evalDeps(deps)
assert(!paths.exists(_.path.toString.contains("fansi_2.12")))
}
- 'excludeTransitiveDepsByOrg - {
+ test("excludeTransitiveDepsByOrg"){
val deps = Agg(ivy"com.lihaoyi::pprint:0.5.3".excludeOrg("com.lihaoyi"))
val Success(paths) = evalDeps(deps)
assert(!paths.exists(path => path.path.toString.contains("com/lihaoyi") && !path.path.toString.contains("pprint_2.12")))
}
- 'excludeTransitiveDepsByName - {
+ test("excludeTransitiveDepsByName"){
val deps = Agg(ivy"com.lihaoyi::pprint:0.5.3".excludeName("fansi_2.12"))
val Success(paths) = evalDeps(deps)
assert(!paths.exists(_.path.toString.contains("fansi_2.12")))
}
- 'errOnInvalidOrgDeps - {
+ test("errOnInvalidOrgDeps"){
val deps = Agg(ivy"xxx.yyy.invalid::pprint:0.5.3")
val Failure(errMsg, _) = evalDeps(deps)
assert(errMsg.contains("xxx.yyy.invalid"))
}
- 'errOnInvalidVersionDeps - {
+ test("errOnInvalidVersionDeps"){
val deps = Agg(ivy"com.lihaoyi::pprint:invalid.version.num")
val Failure(errMsg, _) = evalDeps(deps)
assert(errMsg.contains("invalid.version.num"))
}
- 'errOnPartialSuccess - {
+ test("errOnPartialSuccess"){
val deps = Agg(ivy"com.lihaoyi::pprint:0.5.3", ivy"fake::fake:fake")
val Failure(errMsg, _) = evalDeps(deps)
assert(errMsg.contains("fake"))
diff --git a/scalalib/test/src/VersionControlTests.scala b/scalalib/test/src/VersionControlTests.scala
index fafdca2d..d26a6adf 100644
--- a/scalalib/test/src/VersionControlTests.scala
+++ b/scalalib/test/src/VersionControlTests.scala
@@ -10,7 +10,7 @@ object VersionContolTests extends TestSuite {
import VersionControlConnection._
val tests = Tests {
- 'github - {
+ test("github"){
assert(
github("lihaoyi", "mill") ==
VersionControl(
@@ -21,7 +21,7 @@ object VersionContolTests extends TestSuite {
)
)
}
- 'git - {
+ test("git"){
assert(
gitGit("example.org", "path.git", port = Some(9418)) ==
"scm:git:git://example.org:9418/path.git"
@@ -48,7 +48,7 @@ object VersionContolTests extends TestSuite {
)
}
- 'svn - {
+ test("svn"){
assert(
svnSsh("example.org", "repo") ==
"scm:svn:svn+ssh://example.org/repo"
diff --git a/scalalib/test/src/dependency/metadata/MetadataLoaderFactoryTests.scala b/scalalib/test/src/dependency/metadata/MetadataLoaderFactoryTests.scala
index af2ea617..3961f5ae 100644
--- a/scalalib/test/src/dependency/metadata/MetadataLoaderFactoryTests.scala
+++ b/scalalib/test/src/dependency/metadata/MetadataLoaderFactoryTests.scala
@@ -38,19 +38,19 @@ import utest._
object MetadataLoaderFactoryTests extends TestSuite {
val tests = Tests {
- 'mavenRepository - {
+ test("mavenRepository"){
val mavenRepo = MavenRepository("https://repo1.maven.org/maven2")
assertMatch(MetadataLoaderFactory(mavenRepo)) {
case Some(MavenMetadataLoader(`mavenRepo`)) =>
}
}
- 'ivyRepository - {
+ test("ivyRepository"){
val Right(ivyRepo) = IvyRepository.parse(
"https://dl.bintray.com/sbt/sbt-plugin-releases/" + coursier.ivy.Pattern.default.string,
dropInfoAttributes = true)
assertMatch(MetadataLoaderFactory(ivyRepo)) { case None => }
}
- 'otherRepository - {
+ test("otherRepository"){
val otherRepo = new CustomRepository
assertMatch(MetadataLoaderFactory(otherRepo)) { case None => }
}
diff --git a/scalalib/test/src/dependency/updates/UpdatesFinderTests.scala b/scalalib/test/src/dependency/updates/UpdatesFinderTests.scala
index 3b613bcb..dcd029f1 100644
--- a/scalalib/test/src/dependency/updates/UpdatesFinderTests.scala
+++ b/scalalib/test/src/dependency/updates/UpdatesFinderTests.scala
@@ -67,108 +67,108 @@ object UpdatesFinderTests extends TestSuite {
val tests = Tests {
- 'snapshotArtifacts - {
+ test("snapshotArtifacts"){
val u = updates("1.0.0-SNAPSHOT", available, allowPreRelease = false)
val pu = updates("1.0.0-SNAPSHOT", available, allowPreRelease = true)
- 'noOldStableVersions - {
+ test("noOldStableVersions"){
assert(!u.contains("0.9.9"))
}
- 'noOldMilestones - {
+ test("noOldMilestones"){
assert(!u.contains("0.9.9-M3"))
}
- 'noOldSnapshots - {
+ test("noOldSnapshots"){
assert(!u.contains("0.9.9-SNAPSHOT"))
}
- 'noCurrentMilestones - {
+ test("noCurrentMilestones"){
assert(!u.contains("1.0.0-M3"))
}
- 'noCurrentSnapshot - {
+ test("noCurrentSnapshot"){
assert(!u.contains("1.0.0-SNAPSHOT"))
}
- 'stableUpdates - {
+ test("stableUpdates"){
assert(u.contains("1.0.0") && u.contains("1.0.1"))
}
- 'milestoneUpdates - {
+ test("milestoneUpdates"){
assert(u.contains("1.0.1-M3"))
}
- 'snapshotUpdates - {
+ test("snapshotUpdates"){
assert(u.contains("1.0.1-SNAPSHOT"))
}
- 'noDifferencesRegardingOptionalPreReleases - {
+ test("noDifferencesRegardingOptionalPreReleases"){
assert(u == pu)
}
}
- 'milestoneArtifacts - {
+ test("milestoneArtifacts"){
val u = updates("1.0.0-M2", available, allowPreRelease = false)
val pu = updates("1.0.0-M2", available, allowPreRelease = true)
- 'noOldStableVersions - {
+ test("noOldStableVersions"){
assert(!u.contains("0.9.9"))
}
- 'noOldSnapshots - {
+ test("noOldSnapshots"){
assert(!u.contains("0.9.9-SNAPSHOT"))
}
- 'noOldMilestones - {
+ test("noOldMilestones"){
assert(!u.contains("0.9.9-M3"))
}
- 'noCurrentSnapshot - {
+ test("noCurrentSnapshot"){
assert(!u.contains("1.0.0-SNAPSHOT"))
}
- 'currentMilestones - {
+ test("currentMilestones"){
assert(u.contains("1.0.0-M3"))
}
- 'stableUpdates - {
+ test("stableUpdates"){
assert(u.contains("1.0.1"))
}
- 'noSnapshotUpdates - {
+ test("noSnapshotUpdates"){
assert(!u.contains("1.0.1-SNAPSHOT"))
}
- 'milestoneUpdates - {
+ test("milestoneUpdates"){
assert(u.contains("1.0.1-M3"))
}
- 'noDifferencesRegardingOptionalPreReleases - {
+ test("noDifferencesRegardingOptionalPreReleases"){
assert(u == pu)
}
}
- 'stableArtifacts - {
+ test("stableArtifacts"){
val u = updates("1.0.0", available, allowPreRelease = false)
val pu = updates("1.0.0", available, allowPreRelease = true)
- 'noOldStableVersions - {
+ test("noOldStableVersions"){
assert(!u.contains("0.9.9"))
assert(!pu.contains("0.9.9"))
}
- 'noOldSnapshots - {
+ test("noOldSnapshots"){
assert(!u.contains("0.9.9-SNAPSHOT"))
assert(!pu.contains("0.9.9-SNAPSHOT"))
}
- 'noOldMilestones - {
+ test("noOldMilestones"){
assert(!u.contains("0.9.9-M3"))
assert(!pu.contains("0.9.9-M3"))
}
- 'noCurrentSnapshot - {
+ test("noCurrentSnapshot"){
assert(!u.contains("1.0.0-SNAPSHOT"))
assert(!pu.contains("1.0.0-SNAPSHOT"))
}
- 'noCurrentMilestones - {
+ test("noCurrentMilestones"){
assert(!u.contains("1.0.0-M3"))
assert(!pu.contains("1.0.0-M3"))
}
- 'stableUpdates - {
+ test("stableUpdates"){
assert(u.contains("1.0.1"))
assert(pu.contains("1.0.1"))
}
- 'noSnapshotUpdates - {
+ test("noSnapshotUpdates"){
assert(!u.contains("1.0.1-SNAPSHOT"))
assert(!pu.contains("1.0.1-SNAPSHOT"))
}
- 'noMilestoneUpdates - {
+ test("noMilestoneUpdates"){
assert(!u.contains("1.0.1-M3"))
}
- 'milestoneUpdatesWhenAllowingPreReleases - {
+ test("milestoneUpdatesWhenAllowingPreReleases"){
assert(pu.contains("1.0.1-M3"))
}
}
diff --git a/scalalib/test/src/dependency/versions/VersionTests.scala b/scalalib/test/src/dependency/versions/VersionTests.scala
index b916c86f..f09f1def 100644
--- a/scalalib/test/src/dependency/versions/VersionTests.scala
+++ b/scalalib/test/src/dependency/versions/VersionTests.scala
@@ -34,8 +34,8 @@ import fastparse.Parsed
object VersionTests extends TestSuite {
val tests = Tests {
- 'versionsClassification - {
- 'ReleaseVersion - {
+ test("versionsClassification"){
+ test("ReleaseVersion"){
List("1.0.0", "1.0.0.Final", "1.0.0-FINAL", "1.0.0.RELEASE") foreach {
rel =>
assertMatch(Version(rel)) {
@@ -43,26 +43,26 @@ object VersionTests extends TestSuite {
}
}
}
- 'PreReleaseVersion - {
+ test("PreReleaseVersion"){
assertMatch(Version("1.0.0-alpha.1")) {
case PreReleaseVersion(List(1, 0, 0), List("alpha", "1")) =>
}
}
- 'PreReleaseBuildVersion - {
+ test("PreReleaseBuildVersion"){
assertMatch(Version("1.0.0-alpha.1+build.10")) {
case PreReleaseBuildVersion(List(1, 0, 0),
List("alpha", "1"),
List("build", "10")) =>
}
}
- 'BuildVersion - {
+ test("BuildVersion"){
assertMatch(Version("1.0.0+build.10")) {
case BuildVersion(List(1, 0, 0), List("build", "10")) =>
}
}
}
- 'semverVersionsOrdering - {
+ test("semverVersionsOrdering"){
import scala.Ordered._
val v = List(
@@ -95,7 +95,7 @@ object VersionTests extends TestSuite {
}
}
- 'parser - {
+ test("parser"){
Symbol("parse 1.0.5") - {
assertMatch(VersionParser.parse("1.0.5")) {
diff --git a/scalalib/test/src/publish/IvyTests.scala b/scalalib/test/src/publish/IvyTests.scala
index d187f969..4fa52002 100644
--- a/scalalib/test/src/publish/IvyTests.scala
+++ b/scalalib/test/src/publish/IvyTests.scala
@@ -20,10 +20,10 @@ object IvyTests extends TestSuite {
Scope.Compile, exclusions = List("com.lihaoyi" -> "fansi_2.12", "*" -> "sourcecode_2.12"))
)
- 'fullIvy - {
+ test("fullIvy"){
val fullIvy = XML.loadString(Ivy(artifact, deps))
- 'topLevel - {
+ test("topLevel"){
val info = singleNode(fullIvy \ "info")
assert(
singleAttr(info, "organisation") == artifact.group,
@@ -32,7 +32,7 @@ object IvyTests extends TestSuite {
)
}
- 'dependencies - {
+ test("dependencies"){
val dependencies = fullIvy \ "dependencies" \ "dependency"
val ivyDeps = deps.indexed
diff --git a/scalalib/test/src/publish/PomTests.scala b/scalalib/test/src/publish/PomTests.scala
index 307ae379..aeb4070b 100644
--- a/scalalib/test/src/publish/PomTests.scala
+++ b/scalalib/test/src/publish/PomTests.scala
@@ -39,10 +39,10 @@ object PomTests extends TestSuite {
)
)
- 'fullPom - {
+ test("fullPom"){
val fullPom = pomXml(artifact, deps, artifactId, settings)
- 'topLevel - {
+ test("topLevel"){
assert(
singleText(fullPom \ "modelVersion") == "4.0.0",
singleText(fullPom \ "name") == artifactId,
@@ -55,7 +55,7 @@ object PomTests extends TestSuite {
)
}
- 'licenses - {
+ test("licenses"){
val licenses = fullPom \ "licenses" \ "license"
assert(licenses.size == 1)
@@ -69,7 +69,7 @@ object PomTests extends TestSuite {
)
}
- 'scm - {
+ test("scm"){
val scm = (fullPom \ "scm").head
val pomScm = settings.versionControl
@@ -81,7 +81,7 @@ object PomTests extends TestSuite {
)
}
- 'developers - {
+ test("developers"){
val developers = fullPom \ "developers" \ "developer"
assert(developers.size == 2)
@@ -103,7 +103,7 @@ object PomTests extends TestSuite {
)
}
- 'dependencies - {
+ test("dependencies"){
val dependencies = fullPom \ "dependencies" \ "dependency"
assert(dependencies.size == 3)
@@ -126,7 +126,7 @@ object PomTests extends TestSuite {
}
}
- 'pomEmptyScm - {
+ test("pomEmptyScm"){
val updatedSettings = settings.copy(
versionControl = VersionControl(
browsableRepository = Some("git://github.com/lihaoyi/mill.git"),
@@ -136,7 +136,7 @@ object PomTests extends TestSuite {
))
val pomEmptyScm = pomXml(artifact, deps, artifactId, updatedSettings)
- 'scm - {
+ test("scm"){
val scm = (pomEmptyScm \ "scm").head
val pomScm = updatedSettings.versionControl
@@ -149,11 +149,11 @@ object PomTests extends TestSuite {
}
}
- 'pomNoLicenses - {
+ test("pomNoLicenses"){
val updatedSettings = settings.copy(licenses = Seq.empty)
val pomNoLicenses = pomXml(artifact, deps, artifactId, updatedSettings)
- 'licenses - {
+ test("licenses"){
assert(
(pomNoLicenses \ "licenses").nonEmpty,
(pomNoLicenses \ "licenses" \ "licenses").isEmpty
@@ -161,13 +161,13 @@ object PomTests extends TestSuite {
}
}
- 'pomNoDeps - {
+ test("pomNoDeps"){
val pomNoDeps = pomXml(artifact,
dependencies = Agg.empty,
artifactId = artifactId,
pomSettings = settings)
- 'dependencies - {
+ test("dependencies"){
assert(
(pomNoDeps \ "dependencies").nonEmpty,
(pomNoDeps \ "dependencies" \ "dependency").isEmpty
@@ -175,11 +175,11 @@ object PomTests extends TestSuite {
}
}
- 'pomNoDevelopers - {
+ test("pomNoDevelopers"){
val updatedSettings = settings.copy(developers = Seq.empty)
val pomNoDevelopers = pomXml(artifact, deps, artifactId, updatedSettings)
- 'developers - {
+ test("developers"){
assert(
(pomNoDevelopers \ "developers").nonEmpty,
(pomNoDevelopers \ "developers" \ "developer").isEmpty
diff --git a/scalalib/test/src/scalafmt/ScalafmtTests.scala b/scalalib/test/src/scalafmt/ScalafmtTests.scala
index dcbdb769..c9a7ffdd 100644
--- a/scalalib/test/src/scalafmt/ScalafmtTests.scala
+++ b/scalalib/test/src/scalafmt/ScalafmtTests.scala
@@ -34,7 +34,7 @@ object ScalafmtTests extends TestSuite {
}
def tests: Tests = Tests {
- 'scalafmt - {
+ test("scalafmt"){
def checkReformat(reformatCommand: mill.define.Command[Unit]) =
workspaceTest(ScalafmtTestModule) { eval =>
val before = getProjectFiles(ScalafmtTestModule.core, eval)
@@ -82,8 +82,8 @@ object ScalafmtTests extends TestSuite {
)
}
- 'reformat - checkReformat(ScalafmtTestModule.core.reformat())
- 'reformatAll - checkReformat(
+ test("reformat") - checkReformat(ScalafmtTestModule.core.reformat())
+ test("reformatAll") - checkReformat(
ScalafmtModule.reformatAll(Tasks(Seq(ScalafmtTestModule.core.sources))))
}
}
diff --git a/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/ArgsParserTests.scala b/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/ArgsParserTests.scala
index 7929f947..eff74f2b 100644
--- a/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/ArgsParserTests.scala
+++ b/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/ArgsParserTests.scala
@@ -6,14 +6,14 @@ import utest._
object ArgsParserTests extends TestSuite {
def tests: Tests = Tests {
- 'one - {
+ test("one"){
val result = ArgsParser.parse("hello:world")
assert(
result.length == 2,
result == Seq("hello", "world")
)
}
- 'two - { // we fail this test to check testing in scala.js
+ test("two"){ // we fail this test to check testing in scala.js
val result = ArgsParser.parse("hello:world")
assert(
result.length == 80
diff --git a/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/MainTests.scala b/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/MainTests.scala
index 3a89f90c..39c3c5c6 100644
--- a/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/MainTests.scala
+++ b/scalanativelib/test/resources/hello-native-world/test/src/utest/tests/MainTests.scala
@@ -6,13 +6,13 @@ import utest._
object MainTests extends TestSuite {
def tests: Tests = Tests {
- 'vmName - {
- 'containNative - {
+ test("vmName"){
+ test("containNative"){
assert(
Main.vmName.contains("Native")
)
}
- 'containScala - {
+ test("containScala"){
assert(
Main.vmName.contains("Scala")
)
diff --git a/scalanativelib/test/src/HelloNativeWorldTests.scala b/scalanativelib/test/src/HelloNativeWorldTests.scala
index 74d4238a..7c7b8550 100644
--- a/scalanativelib/test/src/HelloNativeWorldTests.scala
+++ b/scalanativelib/test/src/HelloNativeWorldTests.scala
@@ -81,7 +81,7 @@ object HelloNativeWorldTests extends TestSuite {
def tests: Tests = Tests {
prepareWorkspace()
- 'compile - {
+ test("compile"){
def testCompileFromScratch(scalaVersion: String,
scalaNativeVersion: String,
mode: ReleaseMode): Unit = {
@@ -102,11 +102,11 @@ object HelloNativeWorldTests extends TestSuite {
assert(unchangedEvalCount == 0)
}
- 'fromScratch_21112_037 - testCompileFromScratch("2.11.12", "0.3.8", ReleaseMode.Debug)
+ test("fromScratch_21112_037") - testCompileFromScratch("2.11.12", "0.3.8", ReleaseMode.Debug)
}
- 'jar - {
- 'containsNirs - {
+ test("jar"){
+ test("containsNirs"){
val Right((result, evalCount)) =
helloWorldEvaluator(HelloNativeWorld.helloNativeWorld("2.11.12", "0.3.8", ReleaseMode.Debug).jar)
val jar = result.path
@@ -114,7 +114,7 @@ object HelloNativeWorldTests extends TestSuite {
assert(entries.contains("hello/Main$.nir"))
}
}
- 'publish - {
+ test("publish"){
def testArtifactId(scalaVersion: String,
scalaNativeVersion: String,
mode: ReleaseMode,
@@ -123,9 +123,9 @@ object HelloNativeWorldTests extends TestSuite {
HelloNativeWorld.helloNativeWorld(scalaVersion, scalaNativeVersion, mode: ReleaseMode).artifactMetadata)
assert(result.id == artifactId)
}
- 'artifactId_038 - testArtifactId("2.11.12", "0.3.8", ReleaseMode.Debug, "hello-native-world_native0.3_2.11")
+ test("artifactId_038") - testArtifactId("2.11.12", "0.3.8", ReleaseMode.Debug, "hello-native-world_native0.3_2.11")
}
- 'test - {
+ test("test"){
def runTests(testTask: define.Command[(String, Seq[TestRunner.Result])]): Map[String, Map[String, TestRunner.Result]] = {
val Left(Result.Failure(_, Some(res))) = helloWorldEvaluator(testTask)
@@ -169,10 +169,10 @@ object HelloNativeWorldTests extends TestSuite {
)
}
- 'utest_21112_038_debug - (checkUtest("2.11.12", "0.3.8", ReleaseMode.Debug))
- 'utest_21112_038_release - (checkUtest("2.11.12", "0.3.8", ReleaseMode.Release))
- 'scalaTest_21112_038_debug - (checkScalaTest("2.11.12", "0.3.8", ReleaseMode.Debug))
- 'scalaTest_21112_038_release - (checkScalaTest("2.11.12", "0.3.8", ReleaseMode.Release))
+ test("utest_21112_038_debug") - (checkUtest("2.11.12", "0.3.8", ReleaseMode.Debug))
+ test("utest_21112_038_release") - (checkUtest("2.11.12", "0.3.8", ReleaseMode.Release))
+ test("scalaTest_21112_038_debug") - (checkScalaTest("2.11.12", "0.3.8", ReleaseMode.Debug))
+ test("scalaTest_21112_038_release") - (checkScalaTest("2.11.12", "0.3.8", ReleaseMode.Release))
}
def checkRun(scalaVersion: String, scalaNativeVersion: String, mode: ReleaseMode): Unit = {
@@ -191,7 +191,7 @@ object HelloNativeWorldTests extends TestSuite {
)
}
- 'run - {
+ test("run"){
'run_21112_038_debug - (checkRun("2.11.12", "0.3.8", ReleaseMode.Debug))
'run_21112_038_release - (checkRun("2.11.12", "0.3.8", ReleaseMode.Release))
}