summaryrefslogtreecommitdiff
path: root/scalalib
diff options
context:
space:
mode:
authorLi Haoyi <haoyi.sg@gmail.com>2018-02-04 10:13:07 -0800
committerLi Haoyi <haoyi.sg@gmail.com>2018-02-04 10:13:07 -0800
commitce0a5c2869da538b79d6adb9e28dd46741953889 (patch)
tree7baaa6120421dbf6f9eccd1831b3229b994a9269 /scalalib
parent54546198dc0a449a7a3f0c6f34e65d06747f37bd (diff)
downloadmill-ce0a5c2869da538b79d6adb9e28dd46741953889.tar.gz
mill-ce0a5c2869da538b79d6adb9e28dd46741953889.tar.bz2
mill-ce0a5c2869da538b79d6adb9e28dd46741953889.zip
Fix `HelloJsWorld` tests
Diffstat (limited to 'scalalib')
-rw-r--r--scalalib/src/mill/scalalib/MiscModule.scala4
-rw-r--r--scalalib/src/mill/scalalib/PublishModule.scala3
-rw-r--r--scalalib/src/mill/scalalib/ScalaModule.scala6
3 files changed, 6 insertions, 7 deletions
diff --git a/scalalib/src/mill/scalalib/MiscModule.scala b/scalalib/src/mill/scalalib/MiscModule.scala
index 8576e788..7ff5d97f 100644
--- a/scalalib/src/mill/scalalib/MiscModule.scala
+++ b/scalalib/src/mill/scalalib/MiscModule.scala
@@ -12,7 +12,7 @@ object CrossModuleBase{
yield PathRef(f(segments.mkString(".")))
}
}
-trait CrossModuleBase extends mill.Module{
+trait CrossModuleBase extends ScalaModule {
def crossScalaVersion: String
def scalaVersion = T{ crossScalaVersion }
@@ -37,7 +37,7 @@ trait CrossModuleBase extends mill.Module{
}
trait CrossScalaModule extends ScalaModule with CrossModuleBase{ outer =>
- override def sources = T.sources{
+ override def sources = T.sources{
super.sources() ++
CrossModuleBase.scalaVersionPaths(crossScalaVersion, s => millSourcePath / s"src-$s" )
}
diff --git a/scalalib/src/mill/scalalib/PublishModule.scala b/scalalib/src/mill/scalalib/PublishModule.scala
index 460defcb..785f77ac 100644
--- a/scalalib/src/mill/scalalib/PublishModule.scala
+++ b/scalalib/src/mill/scalalib/PublishModule.scala
@@ -12,11 +12,12 @@ trait PublishModule extends ScalaModule { outer =>
def pomSettings: T[PomSettings]
def publishVersion: T[String]
+ def artifactId: T[String] = T { s"${artifactName()}${artifactSuffix()}" }
def pom = T {
val dependencies =
ivyDeps().map(Artifact.fromDep(_, scalaVersion(), Lib.scalaBinaryVersion(scalaVersion())))
- val pom = Pom(artifact(), dependencies, artifactName(), pomSettings())
+ val pom = Pom(artifact(), dependencies, artifactId(), pomSettings())
val pomPath = T.ctx().dest / s"${artifactId()}-${publishVersion()}.pom"
write.over(pomPath, pom)
diff --git a/scalalib/src/mill/scalalib/ScalaModule.scala b/scalalib/src/mill/scalalib/ScalaModule.scala
index fa8e8ca6..a5637220 100644
--- a/scalalib/src/mill/scalalib/ScalaModule.scala
+++ b/scalalib/src/mill/scalalib/ScalaModule.scala
@@ -249,15 +249,13 @@ trait ScalaModule extends mill.Module with TaskModule { outer =>
// publish artifact with name "mill_2.12.4" instead of "mill_2.12"
def crossFullScalaVersion: T[Boolean] = false
- def artifactName: T[String] = millModuleSegments.parts.mkString("-")
-
def artifactScalaVersion: T[String] = T {
if (crossFullScalaVersion()) scalaVersion()
else Lib.scalaBinaryVersion(scalaVersion())
}
+ def artifactName: T[String] = millModuleSegments.parts.mkString("-")
- def artifactId: T[String] = T { s"${artifactName()}_${artifactScalaVersion()}" }
-
+ def artifactSuffix: T[String] = T { s"_${artifactScalaVersion()}" }
}