summaryrefslogtreecommitdiff
path: root/scalalib
diff options
context:
space:
mode:
authorLi Haoyi <haoyi.sg@gmail.com>2018-01-14 18:13:28 -0800
committerLi Haoyi <haoyi.sg@gmail.com>2018-01-14 18:47:47 -0800
commit39bfb3f02cc539def76c7df69073c62bb6e5dc96 (patch)
tree7f82428b6367543e70f0c26fd2444856baf4663e /scalalib
parent606119317bf28b7029dbd40e04fda04c4fb1c7a5 (diff)
downloadmill-39bfb3f02cc539def76c7df69073c62bb6e5dc96.tar.gz
mill-39bfb3f02cc539def76c7df69073c62bb6e5dc96.tar.bz2
mill-39bfb3f02cc539def76c7df69073c62bb6e5dc96.zip
Extract out miscellanous mill.define.Module helpers into the millInternal namespace
Diffstat (limited to 'scalalib')
-rw-r--r--scalalib/src/main/scala/mill/scalalib/GenIdea.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/scalalib/src/main/scala/mill/scalalib/GenIdea.scala b/scalalib/src/main/scala/mill/scalalib/GenIdea.scala
index 499e2589..b11c58f3 100644
--- a/scalalib/src/main/scala/mill/scalalib/GenIdea.scala
+++ b/scalalib/src/main/scala/mill/scalalib/GenIdea.scala
@@ -27,7 +27,7 @@ object GenIdea {
def xmlFileLayout[T](evaluator: Evaluator[T], rootModule: mill.Module): Seq[(RelPath, scala.xml.Node)] = {
- val modules = rootModule.segmentsToModules.values.collect{case x: scalalib.Module => (x.millModuleSegments, x)}.toSeq
+ val modules = rootModule.millInternal.segmentsToModules.values.collect{case x: scalalib.Module => (x.millModuleSegments, x)}.toSeq
val resolved = for((path, mod) <- modules) yield {
val Seq(resolvedCp: Loose.Agg[PathRef], resolvedSrcs: Loose.Agg[PathRef]) =