summaryrefslogtreecommitdiff
path: root/nuttx/configs/teensy/src
diff options
context:
space:
mode:
authorpatacongo <patacongo@42af7a65-404d-4744-a932-0658087f49c3>2013-02-27 15:16:46 +0000
committerpatacongo <patacongo@42af7a65-404d-4744-a932-0658087f49c3>2013-02-27 15:16:46 +0000
commitb5a5ba0335a35555f062f7604377339552454886 (patch)
tree97daaa72bad5356f8e5662eab0b3531459d41045 /nuttx/configs/teensy/src
parent3ac081bae886b327cb7b818975edb3d66bd6b945 (diff)
downloadnuttx-b5a5ba0335a35555f062f7604377339552454886.tar.gz
nuttx-b5a5ba0335a35555f062f7604377339552454886.tar.bz2
nuttx-b5a5ba0335a35555f062f7604377339552454886.zip
Fix NuTiny-SDK-NUC120 LEDs
git-svn-id: svn://svn.code.sf.net/p/nuttx/code/trunk@5679 42af7a65-404d-4744-a932-0658087f49c3
Diffstat (limited to 'nuttx/configs/teensy/src')
-rw-r--r--nuttx/configs/teensy/src/up_leds.c14
1 files changed, 5 insertions, 9 deletions
diff --git a/nuttx/configs/teensy/src/up_leds.c b/nuttx/configs/teensy/src/up_leds.c
index ce83b4999..545148709 100644
--- a/nuttx/configs/teensy/src/up_leds.c
+++ b/nuttx/configs/teensy/src/up_leds.c
@@ -2,7 +2,7 @@
* configs/teensy/src/up_leds.c
* arch/arm/src/board/up_leds.c
*
- * Copyright (C) 2011 Gregory Nutt. All rights reserved.
+ * Copyright (C) 2011, 2013 Gregory Nutt. All rights reserved.
* Author: Gregory Nutt <gnutt@nuttx.org>
*
* Redistribution and use in source and binary forms, with or without
@@ -56,22 +56,18 @@
* Definitions
****************************************************************************/
-/* Enables debug output from this file (needs CONFIG_DEBUG with
- * CONFIG_DEBUG_VERBOSE too)
+/* CONFIG_DEBUG_LEDS enables debug output from this file (needs CONFIG_DEBUG
+ * with CONFIG_DEBUG_VERBOSE too)
*/
-#undef LED_DEBUG /* Define to enable debug */
-#undef LED_VERBOSE /* Define to enable verbose debug */
-
-#ifdef LED_DEBUG
+#ifdef CONFIG_DEBUG_LEDS
# define leddbg lldbg
-# ifdef LED_VERBOSE
+# ifdef CONFIG_DEBUG_VERBOSE
# define ledvdbg lldbg
# else
# define ledvdbg(x...)
# endif
#else
-# undef LED_VERBOSE
# define leddbg(x...)
# define ledvdbg(x...)
#endif