aboutsummaryrefslogtreecommitdiff
path: root/src/test/scala/scala/async/run/ifelse0/WhileSpec.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/scala/async/run/ifelse0/WhileSpec.scala')
-rw-r--r--src/test/scala/scala/async/run/ifelse0/WhileSpec.scala14
1 files changed, 14 insertions, 0 deletions
diff --git a/src/test/scala/scala/async/run/ifelse0/WhileSpec.scala b/src/test/scala/scala/async/run/ifelse0/WhileSpec.scala
index 666c373..4b3c2aa 100644
--- a/src/test/scala/scala/async/run/ifelse0/WhileSpec.scala
+++ b/src/test/scala/scala/async/run/ifelse0/WhileSpec.scala
@@ -62,4 +62,18 @@ class WhileSpec {
}
result mustBe (100)
}
+
+ @Test
+ def whileExpr() {
+ import AsyncId._
+
+ val result = async {
+ var cond = true
+ while (cond) {
+ cond = false
+ await { 22 }
+ }
+ }
+ result mustBe ()
+ }
}