summaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
authorPaul Phillips <paulp@improving.org>2013-01-15 07:24:30 -0800
committerPaul Phillips <paulp@improving.org>2013-01-15 07:24:30 -0800
commit240723b0e271ac4fde9f742ec9eb36a5a5e9ba08 (patch)
tree60761362428507af7475cef2b00be70c653ed650 /src
parentbe9428e05f6c91b820dd7a08bd72e61edaf1a7e5 (diff)
parent8475807f540a698c8456bc113b9c5b9186ee2cf5 (diff)
downloadscala-240723b0e271ac4fde9f742ec9eb36a5a5e9ba08.tar.gz
scala-240723b0e271ac4fde9f742ec9eb36a5a5e9ba08.tar.bz2
scala-240723b0e271ac4fde9f742ec9eb36a5a5e9ba08.zip
Merge pull request #1879 from adriaanm/ticket-6955
SI-6955 switch emission no longer foiled by type alias
Diffstat (limited to 'src')
-rw-r--r--src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala b/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala
index d73fb680f2..d8cfd5a765 100644
--- a/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala
+++ b/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala
@@ -3522,7 +3522,7 @@ trait PatternMatching extends Transform with TypingTransformers with ast.TreeDSL
override def emitSwitch(scrut: Tree, scrutSym: Symbol, cases: List[List[TreeMaker]], pt: Type, matchFailGenOverride: Option[Tree => Tree], unchecked: Boolean): Option[Tree] = { import CODE._
val regularSwitchMaker = new RegularSwitchMaker(scrutSym, matchFailGenOverride, unchecked)
// TODO: if patterns allow switch but the type of the scrutinee doesn't, cast (type-test) the scrutinee to the corresponding switchable type and switch on the result
- if (regularSwitchMaker.switchableTpe(scrutSym.tpe)) {
+ if (regularSwitchMaker.switchableTpe(scrutSym.tpe.dealias)) { // TODO: switch to dealiasWiden in 2.11
val caseDefsWithDefault = regularSwitchMaker(cases map {c => (scrutSym, c)}, pt)
if (caseDefsWithDefault isEmpty) None // not worth emitting a switch.
else {