summaryrefslogtreecommitdiff
path: root/test/files/jvm/bigints.scala
diff options
context:
space:
mode:
authorPaul Phillips <paulp@improving.org>2009-06-21 00:21:16 +0000
committerPaul Phillips <paulp@improving.org>2009-06-21 00:21:16 +0000
commit85a7be90dabecb162c3c865085e32fc42d19c411 (patch)
tree797924fcdd999e07ae88ba533cb4745687697492 /test/files/jvm/bigints.scala
parent8cf7228f8c1e11d67f4b7053b4ff2772e2bd79fc (diff)
downloadscala-85a7be90dabecb162c3c865085e32fc42d19c411.tar.gz
scala-85a7be90dabecb162c3c865085e32fc42d19c411.tar.bz2
scala-85a7be90dabecb162c3c865085e32fc42d19c411.zip
More equality shenanigans.
and the various Int types all use different hashCode algorithms. This means there is very broken behavior afoot among the types. I see no option but to make numeric equals significantly less tricky.
Diffstat (limited to 'test/files/jvm/bigints.scala')
-rw-r--r--test/files/jvm/bigints.scala4
1 files changed, 0 insertions, 4 deletions
diff --git a/test/files/jvm/bigints.scala b/test/files/jvm/bigints.scala
index efb5139180..4fae613ad5 100644
--- a/test/files/jvm/bigints.scala
+++ b/test/files/jvm/bigints.scala
@@ -31,8 +31,6 @@ object Test_BigInt extends TestCase("BigInt") with Assert {
assertEquals("int_mul_bigint", 2*x*y, y*x*2)
assertTrue("z_<=_3", z <= 3)
assertFalse("3_<_z", 3 < z)
- assertTrue("z_==_3", z == 3)
- assertTrue("3_==_z", 3 == z)
}
}
@@ -52,8 +50,6 @@ object Test_BigDecimal extends TestCase("BigDecimal") with Assert {
val z = 1 + y
assertTrue("z_<=_3", z <= 3)
assertFalse("3_<_z", 3 < z)
- assertTrue("z_==_3", z == 3)
- assertTrue("3_==_z", 3 == z)
val a: BigDecimal= Math.MAX_LONG
val b: BigDecimal = 1