summaryrefslogtreecommitdiff
path: root/test/files/run/macro-bundle-repl.scala
diff options
context:
space:
mode:
authorEugene Burmako <xeno.by@gmail.com>2013-10-18 16:52:28 +0200
committerEugene Burmako <xeno.by@gmail.com>2013-10-18 16:59:18 +0200
commita6d6550826c3fbd04948382893ce3147d298ffbc (patch)
tree0aa749c13ed646d8379f7e0ee037d87c97180f76 /test/files/run/macro-bundle-repl.scala
parent3b4dc75710ac51de729224929690422d1b44e3ad (diff)
downloadscala-a6d6550826c3fbd04948382893ce3147d298ffbc.tar.gz
scala-a6d6550826c3fbd04948382893ce3147d298ffbc.tar.bz2
scala-a6d6550826c3fbd04948382893ce3147d298ffbc.zip
changes some manual tree constructions in macro tests to quasiquotes
Diffstat (limited to 'test/files/run/macro-bundle-repl.scala')
-rw-r--r--test/files/run/macro-bundle-repl.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/test/files/run/macro-bundle-repl.scala b/test/files/run/macro-bundle-repl.scala
index 06a6dcd5ce..3171aaacc2 100644
--- a/test/files/run/macro-bundle-repl.scala
+++ b/test/files/run/macro-bundle-repl.scala
@@ -4,9 +4,9 @@ object Test extends ReplTest {
def code = """
import scala.language.experimental.macros
import scala.reflect.macros.Macro
-trait Bar extends Macro { def impl = { import c.universe._; c.Expr[Unit](Literal(Constant(()))) } };def bar = macro Bar.impl
+trait Bar extends Macro { def impl = { import c.universe._; c.Expr[Unit](q"()") } };def bar = macro Bar.impl
bar
-trait Foo extends Macro { def impl = { import c.universe._; c.Expr[Unit](Literal(Constant(()))) } }
+trait Foo extends Macro { def impl = { import c.universe._; c.Expr[Unit](q"()") } }
def foo = macro Foo.impl
foo
"""