summaryrefslogtreecommitdiff
path: root/test
diff options
context:
space:
mode:
authorPaul Phillips <paulp@improving.org>2013-01-15 07:24:30 -0800
committerPaul Phillips <paulp@improving.org>2013-01-15 07:24:30 -0800
commit240723b0e271ac4fde9f742ec9eb36a5a5e9ba08 (patch)
tree60761362428507af7475cef2b00be70c653ed650 /test
parentbe9428e05f6c91b820dd7a08bd72e61edaf1a7e5 (diff)
parent8475807f540a698c8456bc113b9c5b9186ee2cf5 (diff)
downloadscala-240723b0e271ac4fde9f742ec9eb36a5a5e9ba08.tar.gz
scala-240723b0e271ac4fde9f742ec9eb36a5a5e9ba08.tar.bz2
scala-240723b0e271ac4fde9f742ec9eb36a5a5e9ba08.zip
Merge pull request #1879 from adriaanm/ticket-6955
SI-6955 switch emission no longer foiled by type alias
Diffstat (limited to 'test')
-rw-r--r--test/files/run/t6955.check1
-rw-r--r--test/files/run/t6955.scala26
2 files changed, 27 insertions, 0 deletions
diff --git a/test/files/run/t6955.check b/test/files/run/t6955.check
new file mode 100644
index 0000000000..0cfbf08886
--- /dev/null
+++ b/test/files/run/t6955.check
@@ -0,0 +1 @@
+2
diff --git a/test/files/run/t6955.scala b/test/files/run/t6955.scala
new file mode 100644
index 0000000000..2610acdec4
--- /dev/null
+++ b/test/files/run/t6955.scala
@@ -0,0 +1,26 @@
+import scala.tools.partest.IcodeTest
+
+class Switches {
+ type Tag = Byte
+
+ def switchBad(i: Tag): Int = i match { // notice type of i is Tag = Byte
+ case 1 => 1
+ case 2 => 2
+ case 3 => 3
+ case _ => 0
+ }
+
+ def switchOkay(i: Byte): Int = i match { // notice type of i is Byte
+ case 1 => 1
+ case 2 => 2
+ case 3 => 3
+ case _ => 0
+ }
+}
+
+object Test extends IcodeTest {
+ // ensure we get two switches out of this -- ignore the rest of the output for robustness
+ // exclude the constant we emit for the "SWITCH ..." string below (we get the icode for all the code you see in this file)
+ override def show() = println(collectIcode("").filter(x => x.indexOf("SWITCH ...") >= 0 && x.indexOf("CONSTANT(") == -1).size)
+}
+