summaryrefslogtreecommitdiff
path: root/src/library/scala/annotation/switch.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/library/scala/annotation/switch.scala')
-rw-r--r--src/library/scala/annotation/switch.scala3
1 files changed, 3 insertions, 0 deletions
diff --git a/src/library/scala/annotation/switch.scala b/src/library/scala/annotation/switch.scala
index 23e3923407..00124cf88b 100644
--- a/src/library/scala/annotation/switch.scala
+++ b/src/library/scala/annotation/switch.scala
@@ -22,6 +22,9 @@ package scala.annotation
}
}}}
*
+ * Note: for pattern matches with one or two cases, the compiler generates jump instructions.
+ * Annotating such a match with `@switch` does not issue any warning.
+ *
* @author Paul Phillips
* @since 2.8
*/