summaryrefslogtreecommitdiff
path: root/test/files/neg/t4283b
diff options
context:
space:
mode:
Diffstat (limited to 'test/files/neg/t4283b')
-rw-r--r--test/files/neg/t4283b/AbstractFoo.java5
-rw-r--r--test/files/neg/t4283b/ScalaBipp.scala5
-rw-r--r--test/files/neg/t4283b/Test.scala3
3 files changed, 13 insertions, 0 deletions
diff --git a/test/files/neg/t4283b/AbstractFoo.java b/test/files/neg/t4283b/AbstractFoo.java
new file mode 100644
index 0000000000..7abcd5e76b
--- /dev/null
+++ b/test/files/neg/t4283b/AbstractFoo.java
@@ -0,0 +1,5 @@
+package test;
+
+/* package private */ class AbstractFoo {
+ public int f() { return 2; }
+}
diff --git a/test/files/neg/t4283b/ScalaBipp.scala b/test/files/neg/t4283b/ScalaBipp.scala
new file mode 100644
index 0000000000..36dea9f4de
--- /dev/null
+++ b/test/files/neg/t4283b/ScalaBipp.scala
@@ -0,0 +1,5 @@
+package test
+
+class ScalaBipp extends AbstractFoo {
+ def make: Option[ScalaBipp] = Option(this)
+}
diff --git a/test/files/neg/t4283b/Test.scala b/test/files/neg/t4283b/Test.scala
new file mode 100644
index 0000000000..0dc5636ff8
--- /dev/null
+++ b/test/files/neg/t4283b/Test.scala
@@ -0,0 +1,3 @@
+object Derived extends test.ScalaBipp {
+ override def f(): Int = super.f()
+}