summaryrefslogtreecommitdiff
path: root/test/files/pos/t715/meredith_1.scala
diff options
context:
space:
mode:
Diffstat (limited to 'test/files/pos/t715/meredith_1.scala')
-rw-r--r--test/files/pos/t715/meredith_1.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/test/files/pos/t715/meredith_1.scala b/test/files/pos/t715/meredith_1.scala
index 3ed2e57d7a..8261b9881a 100644
--- a/test/files/pos/t715/meredith_1.scala
+++ b/test/files/pos/t715/meredith_1.scala
@@ -3,7 +3,7 @@ package com.sap.dspace.model.othello;
import scala.xml._
trait XMLRenderer {
- type T <: {def getClass() : java.lang.Class[_]}
+ type T <: Any {def getClass() : java.lang.Class[_]}
val valueTypes =
List(
classOf[java.lang.Boolean],