summaryrefslogtreecommitdiff
path: root/test/files/res/t743/ParserXXX.scala
diff options
context:
space:
mode:
Diffstat (limited to 'test/files/res/t743/ParserXXX.scala')
-rw-r--r--test/files/res/t743/ParserXXX.scala15
1 files changed, 15 insertions, 0 deletions
diff --git a/test/files/res/t743/ParserXXX.scala b/test/files/res/t743/ParserXXX.scala
new file mode 100644
index 0000000000..d132bdbf1d
--- /dev/null
+++ b/test/files/res/t743/ParserXXX.scala
@@ -0,0 +1,15 @@
+package t743;
+trait ParserXXX {
+ val foo = null;
+ trait NodeImpl {
+ trait Link extends ParserXXX.this.Link {
+ val from = null;
+ }
+ }
+ trait Link {
+ val to0 = null;
+ }
+ trait IsLinked extends NodeImpl {
+ trait Link extends super.Link;
+ }
+}