summaryrefslogtreecommitdiff
path: root/test/files/run/bug2552.scala
diff options
context:
space:
mode:
Diffstat (limited to 'test/files/run/bug2552.scala')
-rw-r--r--test/files/run/bug2552.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/test/files/run/bug2552.scala b/test/files/run/bug2552.scala
index 911d98decc..0c6b4f005b 100644
--- a/test/files/run/bug2552.scala
+++ b/test/files/run/bug2552.scala
@@ -1,4 +1,4 @@
-object Test extends Application {
+object Test extends App {
def testTakeWhile = {
val numbers = Iterator.range(0, 50)
val zeroTo9 = numbers.takeWhile(x => { println("p(" + x + ")"); x < 10 } )