summaryrefslogtreecommitdiff
path: root/test/files/run/map_test.scala
diff options
context:
space:
mode:
Diffstat (limited to 'test/files/run/map_test.scala')
-rw-r--r--test/files/run/map_test.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/test/files/run/map_test.scala b/test/files/run/map_test.scala
index 82a2c12e5a..709a7e0233 100644
--- a/test/files/run/map_test.scala
+++ b/test/files/run/map_test.scala
@@ -5,7 +5,7 @@ import scala.collection.immutable.Order;
object Test with Executable {
val intOrder =
- new Order((x:int,y:int) => x < y, (x:int,y:int) => x == y);
+ Order.make((x:int,y:int) => x < y);
test1();
test2();