summaryrefslogtreecommitdiff
path: root/test/files/run/t7008-scala-defined/Test_3.scala
diff options
context:
space:
mode:
Diffstat (limited to 'test/files/run/t7008-scala-defined/Test_3.scala')
-rw-r--r--test/files/run/t7008-scala-defined/Test_3.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/test/files/run/t7008-scala-defined/Test_3.scala b/test/files/run/t7008-scala-defined/Test_3.scala
index 03bb79d311..ee7b9d9cde 100644
--- a/test/files/run/t7008-scala-defined/Test_3.scala
+++ b/test/files/run/t7008-scala-defined/Test_3.scala
@@ -4,6 +4,6 @@ object Test extends App {
Macros.foo
println("=============")
- val decls = typeOf[ScalaClassWithCheckedExceptions_1[_]].declarations.toList
+ val decls = typeOf[ScalaClassWithCheckedExceptions_1[_]].decls.toList
decls sortBy (_.name.toString) foreach (decl => println(s"${decl.name}: ${decl.annotations}"))
} \ No newline at end of file