summaryrefslogtreecommitdiff
path: root/test/files/jvm/t6941/test.scala
blob: 248617f71f02ae4052d6811aae086a6f59dfdce0 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
import scala.tools.partest.BytecodeTest

import scala.tools.nsc.util.JavaClassPath
import java.io.InputStream
import scala.tools.asm
import asm.ClassReader
import asm.tree.{ClassNode, InsnList}
import scala.collection.JavaConverters._

object Test extends BytecodeTest {
  def show: Unit = {
    val classNode = loadClassNode("SameBytecode")
    similarBytecode(getMethod(classNode, "a"), getMethod(classNode, "b"), equalsModuloVar)
  }
}