summaryrefslogtreecommitdiff
path: root/test/pending/jvm/patmat_opt_no_nullcheck/test.scala
blob: 5a4a398b674491b11f8f625d8ca5dae4225173d3 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
/*
 * filter: inliner warning; re-run with
 */
import scala.tools.partest.{ BytecodeTest, ASMConverters }

object Test extends BytecodeTest {
  def show: Unit = {
    val classNode = loadClassNode("SameBytecode")
    // ASM and GenBCode assign variable slots slightly differently
    val instrsA = ASMConverters.instructionsFromMethod(getMethod(classNode, "a"))
    val instrsB = ASMConverters.instructionsFromMethod(getMethod(classNode, "b"))
    assert(ASMConverters.equivalentBytecode(instrsA, instrsB), diffInstructions(instrsA, instrsB)) // doesn't work
  }
}