aboutsummaryrefslogtreecommitdiff
path: root/src/modules/test
diff options
context:
space:
mode:
Diffstat (limited to 'src/modules/test')
-rw-r--r--src/modules/test/foo.c4
-rw-r--r--src/modules/test/module.mk4
2 files changed, 8 insertions, 0 deletions
diff --git a/src/modules/test/foo.c b/src/modules/test/foo.c
new file mode 100644
index 000000000..ff6af031f
--- /dev/null
+++ b/src/modules/test/foo.c
@@ -0,0 +1,4 @@
+int test_main(void)
+{
+ return 0;
+} \ No newline at end of file
diff --git a/src/modules/test/module.mk b/src/modules/test/module.mk
new file mode 100644
index 000000000..abf80eedf
--- /dev/null
+++ b/src/modules/test/module.mk
@@ -0,0 +1,4 @@
+
+MODULE_NAME = test
+SRCS = foo.c
+