aboutsummaryrefslogtreecommitdiff
path: root/tests/pickling
diff options
context:
space:
mode:
authorMartin Odersky <odersky@gmail.com>2016-04-07 21:57:55 +0200
committerMartin Odersky <odersky@gmail.com>2016-04-07 21:57:55 +0200
commit29e5792ad7ea1209f0141000449649e8cb943b65 (patch)
tree342dee55bdcb888e3efb517b8f9be232708d1ee6 /tests/pickling
parentcc7acead9472643a2bdf73ac49d8d28f444d5fce (diff)
downloaddotty-29e5792ad7ea1209f0141000449649e8cb943b65.tar.gz
dotty-29e5792ad7ea1209f0141000449649e8cb943b65.tar.bz2
dotty-29e5792ad7ea1209f0141000449649e8cb943b65.zip
Test cases
Diffstat (limited to 'tests/pickling')
-rw-r--r--tests/pickling/i1202a.scala7
-rw-r--r--tests/pickling/i1202b.scala9
2 files changed, 16 insertions, 0 deletions
diff --git a/tests/pickling/i1202a.scala b/tests/pickling/i1202a.scala
new file mode 100644
index 000000000..0bc19f4e3
--- /dev/null
+++ b/tests/pickling/i1202a.scala
@@ -0,0 +1,7 @@
+class Test[T] {
+ def testMethod: Unit =
+ new Foo(this)
+}
+class Foo[T]() {
+ def this(ct: Test[T]) = this()
+}
diff --git a/tests/pickling/i1202b.scala b/tests/pickling/i1202b.scala
new file mode 100644
index 000000000..09d06170f
--- /dev/null
+++ b/tests/pickling/i1202b.scala
@@ -0,0 +1,9 @@
+package i1202
+
+class Test() {
+ import Test._
+ val myStatus = Unknown
+}
+object Test {
+ private val Unknown: Int = 0
+}