summaryrefslogtreecommitdiff
path: root/shared/src/test/scala/escale/SyntaxTest.scala
diff options
context:
space:
mode:
authorJakob Odersky <jakob@odersky.com>2018-12-26 18:31:23 +0100
committerJakob Odersky <jakob@odersky.com>2018-12-26 18:31:23 +0100
commit149b917dfe95887b1c46cb7bbf45a6ddbe32ca1b (patch)
tree57378e2d6f009ddf7c608cf4bea1a91a14bf74ff /shared/src/test/scala/escale/SyntaxTest.scala
parent3c59af848c37e1530876e95f7321c8757855d030 (diff)
downloadescale-149b917dfe95887b1c46cb7bbf45a6ddbe32ca1b.tar.gz
escale-149b917dfe95887b1c46cb7bbf45a6ddbe32ca1b.tar.bz2
escale-149b917dfe95887b1c46cb7bbf45a6ddbe32ca1b.zip
Update tests for ScalaJSHEADmaster
Diffstat (limited to 'shared/src/test/scala/escale/SyntaxTest.scala')
-rw-r--r--shared/src/test/scala/escale/SyntaxTest.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/shared/src/test/scala/escale/SyntaxTest.scala b/shared/src/test/scala/escale/SyntaxTest.scala
index fffcbe9..6225839 100644
--- a/shared/src/test/scala/escale/SyntaxTest.scala
+++ b/shared/src/test/scala/escale/SyntaxTest.scala
@@ -30,8 +30,8 @@ object SyntaxTest extends TestSuite {
val Ch1 = chan[Int]()
val Ch2 = chan[Int]()
- go {/*Thread.sleep(1);*/ Ch1 !< 1}
- go {/*Thread.sleep(1);*/ Ch2 !< 1}
+ go {Thread.sleep(1); Ch1 !< 1}
+ go {Thread.sleep(1); Ch2 !< 1}
select(Ch1, Ch2) match {
case (Ch1, _) => "ch1 was first"