summaryrefslogtreecommitdiff
path: root/scalaplugin/src/main
diff options
context:
space:
mode:
authorLi Haoyi <haoyi.sg@gmail.com>2017-12-25 18:42:35 -0800
committerLi Haoyi <haoyi.sg@gmail.com>2017-12-25 18:42:35 -0800
commitefc073009550976814b750aa5436b1cda1d8ef5b (patch)
tree08fb55d1ab3f1728dc9d78441cc164f8050d4934 /scalaplugin/src/main
parenta75ce74b632d5f5f570220a9b10d32587dd90b58 (diff)
downloadmill-efc073009550976814b750aa5436b1cda1d8ef5b.tar.gz
mill-efc073009550976814b750aa5436b1cda1d8ef5b.tar.bz2
mill-efc073009550976814b750aa5436b1cda1d8ef5b.zip
WIP: provide Mapping as a Ctx argument that can be accessed from within Tasks, for usage within `GenIdea` and similar
Diffstat (limited to 'scalaplugin/src/main')
-rw-r--r--scalaplugin/src/main/scala/mill/scalaplugin/GenIdea.scala9
1 files changed, 4 insertions, 5 deletions
diff --git a/scalaplugin/src/main/scala/mill/scalaplugin/GenIdea.scala b/scalaplugin/src/main/scala/mill/scalaplugin/GenIdea.scala
index 07a9e998..86e33d8d 100644
--- a/scalaplugin/src/main/scala/mill/scalaplugin/GenIdea.scala
+++ b/scalaplugin/src/main/scala/mill/scalaplugin/GenIdea.scala
@@ -16,20 +16,19 @@ object GenIdea {
val workspacePath = pwd / 'out
- val evaluator = new Evaluator(workspacePath, mapping.value, new PrintLogger(true))
+ val evaluator = new Evaluator(workspacePath, mapping, new PrintLogger(true))
for((relPath, xml) <- xmlFileLayout(mapping, evaluator)){
write.over(pwd/relPath, pp.format(xml))
}
}
- def xmlFileLayout[T](mapping: Discovered.Mapping[T],
- evaluator: Evaluator): Seq[(RelPath, scala.xml.Node)] = {
+ def xmlFileLayout[T](evaluator: Evaluator): Seq[(RelPath, scala.xml.Node)] = {
val modules = Mirror
- .traverse(mapping.base, mapping.mirror){ (h, p) =>
- h.node(mapping.base, p.reverse.map{case Mirror.Segment.Cross(vs) => vs.toList case _ => Nil}.toList) match {
+ .traverse(evaluator.mapping.base, evaluator.mapping.mirror){ (h, p) =>
+ h.node(evaluator.mapping.base, p.reverse.map{case Mirror.Segment.Cross(vs) => vs.toList case _ => Nil}.toList) match {
case m: ScalaModule => Seq(p -> m)
case _ => Nil
}