summaryrefslogtreecommitdiff
path: root/main/test/src/eval/ModuleTests.scala
diff options
context:
space:
mode:
Diffstat (limited to 'main/test/src/eval/ModuleTests.scala')
-rw-r--r--main/test/src/eval/ModuleTests.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/main/test/src/eval/ModuleTests.scala b/main/test/src/eval/ModuleTests.scala
index f28fc9b6..2decd6a7 100644
--- a/main/test/src/eval/ModuleTests.scala
+++ b/main/test/src/eval/ModuleTests.scala
@@ -20,7 +20,7 @@ object ModuleTests extends TestSuite{
}
val tests = Tests {
os.remove.all(TestEvaluator.externalOutPath)
- 'externalModuleTargetsAreNamespacedByModulePackagePath - {
+ test("externalModuleTargetsAreNamespacedByModulePackagePath"){
val check = new TestEvaluator(Build)
val zresult = check.apply(Build.z)
assert(
@@ -30,7 +30,7 @@ object ModuleTests extends TestSuite{
os.read(TestEvaluator.externalOutPath / 'mill / 'eval / 'ModuleTests / 'ExternalModule / 'inner / 'y / "meta.json").contains("17")
)
}
- 'externalModuleMustBeGlobalStatic - {
+ test("externalModuleMustBeGlobalStatic"){
object Build extends mill.define.ExternalModule {