summaryrefslogtreecommitdiff
path: root/scalajslib/src/mill/scalajslib/ScalaJSModule.scala
diff options
context:
space:
mode:
Diffstat (limited to 'scalajslib/src/mill/scalajslib/ScalaJSModule.scala')
-rw-r--r--scalajslib/src/mill/scalajslib/ScalaJSModule.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/scalajslib/src/mill/scalajslib/ScalaJSModule.scala b/scalajslib/src/mill/scalajslib/ScalaJSModule.scala
index 1d8fd3fc..b3cc28a4 100644
--- a/scalajslib/src/mill/scalajslib/ScalaJSModule.scala
+++ b/scalajslib/src/mill/scalajslib/ScalaJSModule.scala
@@ -195,7 +195,7 @@ trait TestScalaJSModule extends ScalaJSModule with TestModule {
)
val (doneMsg, results) = scalaWorker
- .scalaWorker()
+ .worker()
.runTests(
_ => Seq(framework),
runClasspath().map(_.path),