summaryrefslogtreecommitdiff
path: root/scalajslib/test/src/mill/scalajslib/MultiModuleTests.scala
diff options
context:
space:
mode:
Diffstat (limited to 'scalajslib/test/src/mill/scalajslib/MultiModuleTests.scala')
-rw-r--r--scalajslib/test/src/mill/scalajslib/MultiModuleTests.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/scalajslib/test/src/mill/scalajslib/MultiModuleTests.scala b/scalajslib/test/src/mill/scalajslib/MultiModuleTests.scala
index 751c7537..2ffea2cf 100644
--- a/scalajslib/test/src/mill/scalajslib/MultiModuleTests.scala
+++ b/scalajslib/test/src/mill/scalajslib/MultiModuleTests.scala
@@ -6,7 +6,7 @@ import mill.eval.Evaluator
import mill.util._
import mill.scalalib._
import utest._
-
+import mill.scalajslib.api._
object MultiModuleTests extends TestSuite {
val workspacePath = TestUtil.getOutPathStatic() / "multi-module"
val sourcePath = os.pwd / 'scalajslib / 'test / 'resources / "multi-module"