aboutsummaryrefslogtreecommitdiff
path: root/src/test/scala/spray/boilerplate/TemplateParserSpecs.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/spray/boilerplate/TemplateParserSpecs.scala')
-rw-r--r--src/test/scala/spray/boilerplate/TemplateParserSpecs.scala26
1 files changed, 26 insertions, 0 deletions
diff --git a/src/test/scala/spray/boilerplate/TemplateParserSpecs.scala b/src/test/scala/spray/boilerplate/TemplateParserSpecs.scala
new file mode 100644
index 0000000..063eaa0
--- /dev/null
+++ b/src/test/scala/spray/boilerplate/TemplateParserSpecs.scala
@@ -0,0 +1,26 @@
+package spray.boilerplate
+
+import org.specs2.mutable.Specification
+
+class TemplateParserSpecs extends Specification {
+ import TemplateParser.parse
+
+ "TemplateParser.parse" should {
+ "without expansion" in {
+ parse("abc") === FixedString("abc") pendingUntilFixed
+ }
+
+ "just expansion" in {
+ parse("[# def #]") === Expand(LiteralString(" def "), ", ") pendingUntilFixed
+ }
+
+ "multiple expansions" in {
+ parse("[#a#]abc[#b#]") ===
+ Sequence(List(Expand(LiteralString("a"), ", "), FixedString("abc"), Expand(LiteralString("b"), ", "))) pendingUntilFixed
+ }
+
+ "one surrounded expansion" in {
+ parse("abc[#a#]def") === Sequence(List(FixedString("abc"), Expand(LiteralString("a"), ", "), FixedString("def")))
+ }
+ }
+}