aboutsummaryrefslogtreecommitdiff
path: root/src/test/scala/scala/async/run/ifelse0/IfElse0.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/scala/async/run/ifelse0/IfElse0.scala')
-rw-r--r--src/test/scala/scala/async/run/ifelse0/IfElse0.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/test/scala/scala/async/run/ifelse0/IfElse0.scala b/src/test/scala/scala/async/run/ifelse0/IfElse0.scala
index 62e1970..3eb06e6 100644
--- a/src/test/scala/scala/async/run/ifelse0/IfElse0.scala
+++ b/src/test/scala/scala/async/run/ifelse0/IfElse0.scala
@@ -39,14 +39,14 @@ class TestIfElseClass {
class IfElseSpec {
- @Test def `support await in a simple if-else expression`() {
+ @Test def `support await in a simple if-else expression`(): Unit = {
val o = new TestIfElseClass
val fut = o.m2(10)
val res = Await.result(fut, 2 seconds)
res mustBe (14)
}
- @Test def `await in condition`() {
+ @Test def `await in condition`(): Unit = {
import AsyncId.{async, await}
val result = async {
if ({await(true); await(true)}) await(1) else ???