summaryrefslogblamecommitdiff
path: root/test/files/run/scan.scala
blob: 47e0a7d976d3a0f2f1d35777c6400ea8542c1a81 (plain) (tree)
1
2
3
4
5
6
7
8
9







                                 
 
                                                              
                                                                
 


                                              
 

                                                                   
 
                                                                               


   
object Test {

  def main(args: Array[String]) {
    val lst = List(1, 2, 3, 4, 5)

    assert(lst.scanLeft(0)(_ + _) == List(0, 1, 3, 6, 10, 15))
    assert(lst.scanRight(0)(_ + _) == List(15, 14, 12, 9, 5, 0))

    val emp = List[Int]()
    assert(emp.scanLeft(0)(_ + _) == List(0))
    assert(emp.scanRight(0)(_ + _) == List(0))

    val stream = Stream(1, 2, 3, 4, 5)
    assert(stream.scanLeft(0)(_ + _) == Stream(0, 1, 3, 6, 10, 15))

    assert(Stream.from(1).scanLeft(0)(_ + _).take(5) == Stream(0, 1, 3, 6, 10))
  }

}