summaryrefslogtreecommitdiff
path: root/src/library/scala/collection/BitSet.scala
diff options
context:
space:
mode:
authorMartin Odersky <odersky@gmail.com>2010-04-09 17:28:31 +0000
committerMartin Odersky <odersky@gmail.com>2010-04-09 17:28:31 +0000
commit8fc50d2aa738670f6018ec58fd26979631b772f6 (patch)
tree581f67760a688f69427dea538c6f92a756e276cf /src/library/scala/collection/BitSet.scala
parent261e399ba3a11a5844e057526a6161970b75e8d3 (diff)
downloadscala-8fc50d2aa738670f6018ec58fd26979631b772f6.tar.gz
scala-8fc50d2aa738670f6018ec58fd26979631b772f6.tar.bz2
scala-8fc50d2aa738670f6018ec58fd26979631b772f6.zip
more docs
Diffstat (limited to 'src/library/scala/collection/BitSet.scala')
-rw-r--r--src/library/scala/collection/BitSet.scala8
1 files changed, 3 insertions, 5 deletions
diff --git a/src/library/scala/collection/BitSet.scala b/src/library/scala/collection/BitSet.scala
index abb4856bcd..59acb2922e 100644
--- a/src/library/scala/collection/BitSet.scala
+++ b/src/library/scala/collection/BitSet.scala
@@ -15,17 +15,15 @@ import generic._
/** A common base class for mutable and immutable bitsets.
* $bitsetinfo
-
- * @author Martin Odersky
- * @version 2.8
- * @since 1
*/
trait BitSet extends Set[Int]
with BitSetLike[BitSet] {
override def empty: BitSet = BitSet.empty
}
-/** $factoryInfo */
+/** $factoryInfo
+ * @define coll bitset
+ */
object BitSet extends BitSetFactory[BitSet] {
val empty: BitSet = immutable.BitSet.empty
/** $canBuildFromInfo */