summaryrefslogtreecommitdiff
path: root/src/library/scala/sys/process/BasicIO.scala
diff options
context:
space:
mode:
authorLukas Rytz <lukas.rytz@typesafe.com>2016-04-01 14:05:31 +0200
committerLukas Rytz <lukas.rytz@typesafe.com>2016-04-01 14:05:31 +0200
commit19dac8212090f81ffd18bad6d7cc929fbff9bec8 (patch)
tree37943111ae925d9fdd092073a336cff3f6a38e98 /src/library/scala/sys/process/BasicIO.scala
parentad361859546aaa84349714c0a5890492fec38939 (diff)
parent6181525f60588228ce99ab3ef2593ecfcfd35066 (diff)
downloadscala-2.12.0-M4.tar.gz
scala-2.12.0-M4.tar.bz2
scala-2.12.0-M4.zip
Merge pull request #5068 from retronym/topic/jdk8ism2v2.12.0-M4
Accomodate and exploit new library, lang features JDK 8
Diffstat (limited to 'src/library/scala/sys/process/BasicIO.scala')
-rw-r--r--src/library/scala/sys/process/BasicIO.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/library/scala/sys/process/BasicIO.scala b/src/library/scala/sys/process/BasicIO.scala
index 640f7e68c2..b39ae77c62 100644
--- a/src/library/scala/sys/process/BasicIO.scala
+++ b/src/library/scala/sys/process/BasicIO.scala
@@ -33,7 +33,7 @@ object BasicIO {
final val BufferSize = 8192
/** Used to separate lines in the `processFully` function that takes `Appendable`. */
- final val Newline = props("line.separator")
+ final val Newline = System.lineSeparator
private[process] final class Streamed[T](
val process: T => Unit,