summaryrefslogtreecommitdiff
path: root/src/library/scala/sys
diff options
context:
space:
mode:
authorJason Zaugg <jzaugg@gmail.com>2016-03-29 10:36:16 +1000
committerJason Zaugg <jzaugg@gmail.com>2016-03-29 10:36:16 +1000
commit5b11d3ecad2803a0d0cb81a7a29faf0a4389a6b3 (patch)
treedafd5c947fb06d4e05d5d2f5006af9bddb1e3831 /src/library/scala/sys
parent8b2e616c75026484fcfb75c4fdb50f2f94fda4e6 (diff)
downloadscala-5b11d3ecad2803a0d0cb81a7a29faf0a4389a6b3.tar.gz
scala-5b11d3ecad2803a0d0cb81a7a29faf0a4389a6b3.tar.bz2
scala-5b11d3ecad2803a0d0cb81a7a29faf0a4389a6b3.zip
Use System.lineSeparator, rather than sys.props
Diffstat (limited to 'src/library/scala/sys')
-rw-r--r--src/library/scala/sys/process/BasicIO.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/library/scala/sys/process/BasicIO.scala b/src/library/scala/sys/process/BasicIO.scala
index 640f7e68c2..b39ae77c62 100644
--- a/src/library/scala/sys/process/BasicIO.scala
+++ b/src/library/scala/sys/process/BasicIO.scala
@@ -33,7 +33,7 @@ object BasicIO {
final val BufferSize = 8192
/** Used to separate lines in the `processFully` function that takes `Appendable`. */
- final val Newline = props("line.separator")
+ final val Newline = System.lineSeparator
private[process] final class Streamed[T](
val process: T => Unit,