summaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
authorAdriaan Moors <adriaan.moors@epfl.ch>2012-06-25 02:20:24 -0700
committerAdriaan Moors <adriaan.moors@epfl.ch>2012-06-25 02:20:24 -0700
commit1ef679bc18c7fd342bbc76865cfbf4a1c99fd035 (patch)
tree1bc7f4f9e6373633b71d8f089cff8214820bb106 /src
parentf43de697595eaebcf2feec03beb95daf606ac678 (diff)
parentc27e5f0d60c853868b3676f1449e42dd351b0644 (diff)
downloadscala-1ef679bc18c7fd342bbc76865cfbf4a1c99fd035.tar.gz
scala-1ef679bc18c7fd342bbc76865cfbf4a1c99fd035.tar.bz2
scala-1ef679bc18c7fd342bbc76865cfbf4a1c99fd035.zip
Merge pull request #767 from retronym/ticket/5968
SI-5968 Eliminate spurious exhaustiveness warning with singleton types.
Diffstat (limited to 'src')
-rw-r--r--src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala b/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala
index 9b8ddffb49..f99d0e733b 100644
--- a/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala
+++ b/src/compiler/scala/tools/nsc/typechecker/PatternMatching.scala
@@ -2362,6 +2362,8 @@ trait PatternMatching extends Transform with TypingTransformers with ast.TreeDSL
// patmatDebug("enum bool "+ tp)
Some(List(ConstantType(Constant(true)), ConstantType(Constant(false))))
// TODO case _ if tp.isTupleType => // recurse into component types
+ case modSym: ModuleClassSymbol =>
+ Some(List(tp))
case sym if !sym.isSealed || isPrimitiveValueClass(sym) =>
// patmatDebug("enum unsealed "+ (tp, sym, sym.isSealed, isPrimitiveValueClass(sym)))
None