summaryrefslogtreecommitdiff
path: root/test/files/run/t5271_2.scala
diff options
context:
space:
mode:
authorEugene Burmako <xeno.by@gmail.com>2012-04-23 00:36:12 +0200
committerEugene Burmako <xeno.by@gmail.com>2012-04-23 00:50:10 +0200
commit3ddd3486095c3d6a87f723e0ff8187d1b08f5507 (patch)
tree09c854dbde4e5b4c7af0c16b93e2a5d316f2c0d9 /test/files/run/t5271_2.scala
parent3c9c18ddccc17c2b0e62195315ba2abb72d3b761 (diff)
downloadscala-3ddd3486095c3d6a87f723e0ff8187d1b08f5507.tar.gz
scala-3ddd3486095c3d6a87f723e0ff8187d1b08f5507.tar.bz2
scala-3ddd3486095c3d6a87f723e0ff8187d1b08f5507.zip
minor fixes to reification
Diffstat (limited to 'test/files/run/t5271_2.scala')
-rw-r--r--test/files/run/t5271_2.scala1
1 files changed, 1 insertions, 0 deletions
diff --git a/test/files/run/t5271_2.scala b/test/files/run/t5271_2.scala
index 9820ebe692..af6491407c 100644
--- a/test/files/run/t5271_2.scala
+++ b/test/files/run/t5271_2.scala
@@ -9,4 +9,5 @@ object Test extends App {
val toolbox = mkToolBox()
println(code.tree)
+ println(code.eval)
}