summaryrefslogtreecommitdiff
path: root/test/files/run/t7139
diff options
context:
space:
mode:
authorLukas Rytz <lukas.rytz@gmail.com>2016-10-27 21:13:56 +0200
committerLukas Rytz <lukas.rytz@gmail.com>2016-10-27 21:13:56 +0200
commit47050ee4934f5bf78339c5d81583ab445a4318dd (patch)
tree8c68c4d7649493e66869fc36e296bda2435b881a /test/files/run/t7139
parent26c57466eb321a053af0c0feaebf24e585a6bea4 (diff)
downloadscala-47050ee4934f5bf78339c5d81583ab445a4318dd.tar.gz
scala-47050ee4934f5bf78339c5d81583ab445a4318dd.tar.bz2
scala-47050ee4934f5bf78339c5d81583ab445a4318dd.zip
SI-7139 test case, fixed by one of the recent commits
Diffstat (limited to 'test/files/run/t7139')
-rw-r--r--test/files/run/t7139/A_1.scala8
-rw-r--r--test/files/run/t7139/Test_2.scala9
2 files changed, 17 insertions, 0 deletions
diff --git a/test/files/run/t7139/A_1.scala b/test/files/run/t7139/A_1.scala
new file mode 100644
index 0000000000..eb0eb300da
--- /dev/null
+++ b/test/files/run/t7139/A_1.scala
@@ -0,0 +1,8 @@
+package test {
+ object A {
+ def apply(n: A) = n
+ }
+}
+package object test {
+ type A = Int
+}
diff --git a/test/files/run/t7139/Test_2.scala b/test/files/run/t7139/Test_2.scala
new file mode 100644
index 0000000000..32feaa0284
--- /dev/null
+++ b/test/files/run/t7139/Test_2.scala
@@ -0,0 +1,9 @@
+import scala.tools.partest.ReplTest
+
+object Test extends ReplTest {
+ def code =
+ """import test._
+ |A(0)
+ |A(0)
+ """.stripMargin
+}