summaryrefslogtreecommitdiff
path: root/src/library/scala/annotation/target/beanSetter.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/library/scala/annotation/target/beanSetter.scala')
-rw-r--r--src/library/scala/annotation/target/beanSetter.scala2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/library/scala/annotation/target/beanSetter.scala b/src/library/scala/annotation/target/beanSetter.scala
index d7199694e5..6f4887aa0c 100644
--- a/src/library/scala/annotation/target/beanSetter.scala
+++ b/src/library/scala/annotation/target/beanSetter.scala
@@ -48,4 +48,4 @@ package scala.annotation.target
* class myAnnotation extends Annotation
* }}}
*/
-final class beanSetter extends StaticAnnotation
+final class beanSetter extends annotation.StaticAnnotation