summaryrefslogtreecommitdiff
path: root/test/files/run/t7246b/Test.scala
diff options
context:
space:
mode:
Diffstat (limited to 'test/files/run/t7246b/Test.scala')
-rwxr-xr-xtest/files/run/t7246b/Test.scala14
1 files changed, 14 insertions, 0 deletions
diff --git a/test/files/run/t7246b/Test.scala b/test/files/run/t7246b/Test.scala
new file mode 100755
index 0000000000..f0982ea8d0
--- /dev/null
+++ b/test/files/run/t7246b/Test.scala
@@ -0,0 +1,14 @@
+object Test extends App {
+
+ val so = new SubOuter
+ val si = new so.SubInner
+ println(si.baseInner)
+ println(si.subInner)
+}
+
+class SubOuter extends Outer {
+ val subOuter = "sub"
+ class SubInner extends Inner {
+ def subInner = subOuter
+ }
+}