summaryrefslogtreecommitdiff
path: root/test/files/pos/t9178b.scala
blob: cbeaed4f17fe07cb7e93219d92bda6265d7775ab (plain) (blame)
1
2
3
4
5
6
7
abstract class Test{
  val writeInput: java.io.OutputStream => Unit
  def getOutputStream(): java.io.OutputStream

  writeInput(getOutputStream)
}