summaryrefslogtreecommitdiff
path: root/test/files/run/collection-stacks.scala
blob: ec557cb91d1d412f5d0b51ac09583b41106aab56 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
import scala.collection._

object Test extends Application {
  def mutableStack[T](xs: T*): mutable.Stack[T] = {
    val s = new mutable.Stack[T]
    s.push(xs: _*)
    s
  }

  def immutableStack[T](xs: T*): immutable.Stack[T] = {
    immutable.Stack.Empty push xs
  }

  def check[T](expected: T, got: T) {
    println(got + ": " + (expected == got))
  }

  // check #957
  check("1-2-3", immutableStack(1, 2, 3).elements.mkString("-"))
  check("1-2-3", mutableStack(1, 2, 3).elements.mkString("-"))

  println("apply")
  check(1, immutableStack(1, 2, 3).apply(0))
  check(1, mutableStack(1, 2, 3).apply(0))
  check(3, immutableStack(1, 2, 3).apply(2))
  check(3, mutableStack(1, 2, 3).apply(2))

  println("top")
  check(3, immutableStack(1, 2, 3).top)
  check(3, mutableStack(1, 2, 3).top)

  println("pop")
  check("1-2", immutableStack(1, 2, 3).pop.mkString("-"))
  check(3, mutableStack(1, 2, 3).pop())
  check("1-2", { val s = mutableStack(1, 2, 3); s.pop(); s.toList.mkString("-") })
}

// vim: set ts=2 sw=2 et: