summaryrefslogtreecommitdiff
path: root/test/files/run/indexedSeq-apply.scala
blob: 39d4db2ce92313f461279c70c7746626444d4c1d (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
object Test extends App {
  val empty = IndexedSeq()
  assert(empty.isEmpty)

  val single = IndexedSeq(1)
  assert(List(1) == single.toList)

  val two = IndexedSeq("a", "b")
  assert("a" == two.head)
  assert("b" == two.apply(1))

  println("OK")
}

// vim: set ts=2 sw=2 et: