summaryrefslogtreecommitdiff
path: root/test/scalacheck/scan.scala
blob: 4d2abafdefb4843b34f662a9230c31f1d18b60af (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
import org.scalacheck._
import Prop._
import Gen._

object ScanTest extends Properties("TraversableLike.scanLeft") {
  property("scanLeft") = forAll { (xs: List[Int], z: Int) => {
    val sums = xs.scanLeft(z)(_ + _)
    (xs.size == 0) || sums.zip(sums.tail).map(x => x._2 - x._1) == xs
  }}
}